From db3e56349cdc63e45ec0ad2f019955729df0b1d2 Mon Sep 17 00:00:00 2001 From: bubnikv Date: Tue, 14 Nov 2017 23:45:26 +0100 Subject: [PATCH] Integrated CW keyer mode control over USB --- basic.cydsn/TopDesign/TopDesign.cysch | Bin 342762 -> 336660 bytes basic.cydsn/basic.cyprj | 42 ++++++++++++++++++++++---- basic.cydsn/basic.h | 1 + basic.cydsn/iambic/API/iambic.c | 12 ++++++++ basic.cydsn/iambic/API/iambic.h | 13 +++++++- basic.cydsn/iambic/iambic.cysym | Bin 72712 -> 70935 bytes basic.cydsn/iambic/iambic.v | 21 +++++++++++-- basic.cydsn/main.c | 5 --- basic.cydsn/settings.c | 12 ++++++-- basic.cydsn/usbvend.c | 5 +++ 10 files changed, 94 insertions(+), 17 deletions(-) diff --git a/basic.cydsn/TopDesign/TopDesign.cysch b/basic.cydsn/TopDesign/TopDesign.cysch index fc9bd5b881e871211109b35541403e27aa042b01..9e3c0cc204604c86aea0847bc567dce8a47e812b 100644 GIT binary patch literal 336660 zcmeFa3!ENDbtn3bu)wh~m`8wQ%`#}(mW?f0ni;(uSy&oLmW^I$V5;j~|hlQ*{?EC-M z>GM@}SNHc-_xFuvMr!FdJw4U+ICbjOc~#Y^cU*H>!~OS6kN)-Q&o4jEKQ8-MK9`?o zy7Qd9>gk@nNE&LKYiw^!G^QJqjU$Z{c$;dhM(WANar{4tl+}%GjS+mGFzM$STaja| zaTNK_Ank5bf~A?aZ?4hvOe2byqh>U)3%>>%{f&Xfn#NjGGKRNRjrDjNMd~ViTZb>3 z@HUFnbtY{Bc?KGX&2t35$B{aL=apXr`0NVmeKCF=ZyYiJOc~JGc+WG-m!Ag&_{V(w z$s!?x3L@cdWD7yUcECu)oNEjNHutaT#vXKj98ex>?80-zyiYZeL1+_XY(OO&k)ah3x~GA#89^EHT%Y6_$2d+mPN5?s=;$u|MS2)%jyUPW z)Y~`b48=jzcPd3i%TQnMQ+dS5FAf>XJICQpd_eLmpNc>hbfy!kzk76cI7&H|OdDWwrTPgWtr@8sLxRhA=gc0jkre zZK822h-w1wGp6oiCdYpKOk$^*MEp(+Om|S0fVv)yjiPC? zy#Yf(qj)=vG=e&AqzJ*MB;mweV<>F{Qb=c`0G;_KNMEQ?Ss&RNvB}XEv6ZHu&sUlI?L0ZvwsC)Zq*uM$&j*WHW(OPt}9$X$1dQ8M0ZMkQcf8IMPU7 zYYlmgoBSJqp2H~BkMw?gp)!J*Kv8zp)6KaMs!bKK4&J*~$!OWF`WKNV8^?*WE3`*Lu#(s=31%7%C?eCBkY){oa z49I7ce+{AoM8XCT>UuL)9K{ig6A{plG^&*&rlVvO8}au>{HM}6j`wx=O*t=2VMQWL zj^f*~rl_$x5jCE4*M6^N4^8%vW^B}XW`N=TMa|Y8fJJg6M%|gU%g=+Xql6NsVa<$y zpeG8b!DwH|e~p)a*w{gbfdf*FtCAc<-cBSVYZjQ&Z6rKl9f+6q5?Rt(5c(?oJ&MV| ziNGhtHLWVq$*9bdsfh%jG$k(~Pmw91^P7m6!2cPjs7Ep#+TDc?xpgNU*#z*()W~1S z!U*bNbck$@bDLU-%xLN`?2_0(>yXYADwAY~(h)Tb)tVi_3{TJHC+oS~oXefjr}ztq z;l(24y;!ow*!Vr z)RlCUl9U!R^(M|pk+ky0?yoUvH2Kyb7dub?2D?d#OpAgl<|cO3TCm>&$V7u$e*T5# z9rKbccB(}UV_3+>_wzdPyov;Y)C#oWe(YT_Zr68ts zTV>eAxiBnYULZv%Fn{DSb`1p%@K*$ zeO8f{GJuI?4hw(!=2rZ7!IZ3huY3}iAzC_JhS1F&yMgO-2J_RbmL?sYkrS9>RH?XB zN@+}8Ts;Joy;Q!|BM-k)Eu-Uu%c)#?rDRUjux0<|?oD|fDqnv7GV{bkmh)$mRy!MG zu1a!++iuPIJ2a3~O}&sVDf)86pTp&P>Wef+=sKorgkBu}uxngN;hGrNV)z%860Qpa zWO{T^FGq|fiFgOO#5n;6)+2CfiEgPog>-j{PFLA+LQ3y>X5~tHX-##?9qi}q_7?SB zp8}B7YScJ}AMD!C0U)j66olH0@vy05J)46(Exin&Kx!Q}$3f&gl2MhP0mvbvmG-vf z`CHP9EK6nen9D4^z(LcEWwsyb09%RC^iW3e`;k40f5{Xg^{D@zyOX%sC_7-xKxMft zx0ngl$Ss4 z9R~Ey()J@u;v1KW4;!|`onllGxNpg?FdgWjdjII@)(i2jk^vE9nk( z6nZ@9DVNP|G*Q)S&d!i?($hir8QOF0F#wbIvu+ z0|hMGdiD9t!7PSb1Yvc8O&mD+nr9gfQZBtfMSB|=@!q3}9qa&1kKs@>++ z`OMT7lG#Xi5<`^#l=^87-bcidxEIjaQ=y}l51YnZ*((;>~tN;7?8FjBvE%Q z#NqrmgXW`n4W43sssUmPD?_9`dIStN!9x^hi~0!MYo^(8K+jdP!h&k?+BUiEM8}Ct zp+#Yx_Ge5V$FEO6#>$oRwU&9phFBv26;L@I}mSWtUKR;)R2^ea-W=Njk6WD0US zE{BiulJt|+eq|3TeTRpW`Q>(-%1S3xy9cnag-NuX&?q~_VdEfj=QgR3yH<6vgp?~T z4tAT&i^EG+#T7SppXH2wVzoz^c9#R%<>y~wo}7Ycu~W-2Z=qKw-Z4Az2r5-Mo)eHx zks|v6CdZ7M+1M4j@JX+nmeh&ZF=|d!=4hBDYtOV90eUZfapb6ia0dZRElwWt=d8HV zyh6nfo1OD#kar5BNAC|66y0f{JZ=iLoP`G@O&2=d+1{j3OWzz3+vpJku7`h+7n|AA z`&5q|1>ghtmt6oXp&k?Rc12o>&+B$eqoNCwnLSBKZlpft8i1g+_)E_Kbc~RGTGqa0=^AM=w$lr2ZSed z;*k4sftgz~=n3Q`q5jLIBhrxUo#v_n7mdgv$lR%2%N{cF37*R_9vKc&cHr0#v8rU? zN=%<3%nuvtqs!Tjfl|Ux*y%&FfDRQZEc8FHYjkzWQmXC`r4=-Q?r|awpyOnY14yIe za|7}w1_Y^48Mrw+#D3x5v%OD6@ekpv|LkFzEIPOa#6?FG4UMV{HDvtTOUN4`!Z@APW0(*!bv7;uk}xSXIO;O)!gs`vMGH*OI*Q-)Ukw7i6#DdF zk**9)u)|p-P<*73@QV%-_#Vaomm(oyT+c-rPG(MG5x1Ih)=QyAk z-mN{>ZYSGcNxQuV`1niwkv25817YNGqHL~)qCu`j9ZFSZE-F;ftgfchVYgbM_0BcW-EcX|cL>4c}z z^U6t1nif|G9hlrrL1rNPxws`=$ky6c^nm!CYKZ?S{!i7 zH*xUAQaEsjekbrDzSkv+2YMk(;6b&n)kagBG3UI_nKKwP$*3(aQ9O{-H}UY-rRd?H znP5DiEc|*&VIV6v$#&9m^V8tO%A5HPZ2?cin@K!iRce&d!67Rx${d7cB`jP$BQATk z^GC8Kl34vON5RqZh)gmk&8y=?En%NWrNB@hsPJ+-Y?6s|&^JdctbCGiCsqTkmnkF> zz8u%7=5pZ5Cs|1k&6OeJ^q?@O=YX7e<&!LJxI1m4BgT$r-M}N|7ggOg zm|TxGUW4~RPp^^f$;jB0o#W2<@I8trbbRoe!-Z#aq4u}%N1EN!#h@MyU3^`fM*=}} zeRD*>b)UWb8|)kL%%97@(P+%R7B9&^zW>Qkqw&$9p4rCivxooyqyxeHj9;Vx>yA1E zkxMgoy@zX#M|v)xo#tHNdz4*bl2zaUoLfy|3E-TFxbCwF0`bm&S;p}qq`AmK?p;U< z(YMl8gq~X0^XBOz^w-NsvT8b2=nbacL>-j672Pqi0zQi0;&qerq;%KNi$QaXS{bbm zdLxXMDu7$0|7={Z_qsH=3jQT;pjj=hGe}@Qk#;b!~!6 z2cbC6s6B-1K4Io9&?WJWqV94T4sRJQ(plaefaEDRQ17< zoz2=UD!|5p4r}&p1KMTfIa!}uMmrZBP~1y=0vaH4=G(>L7Gy0y_4cDl3WFKz+NMEA z!<%Q6>FeMmlM~6r6kWQcMs5SAvyf>t1bHNdE5N!qll3?qhv0KgC`R$?0B;oU##2yA z8=CaPMNBFa>ivC9l1`c<{cua57(a|MK=`?(!^21v?*ZS4;=OoE1FEywir#?2j5DKl zz0IK{tJBv-^kx859?@D;a|MB7pT{v6?1L);GW|)QL@5^@=v*d3X)mra`RGKVLyz7N zazXB|mCjw)#1a)K?zy4fE{v4)Vvm+8Ue($p!3kdybtv&h>u_)ZNpOT{E`4+4D*S|f z482eF&5=IU{qfb?kh&EK6w9y83JY;{^;6X@Eb~xVJe@>Gb;~ zy>E_9=)Y|9oI%PB@rve2f7*PC7G*mJW}RxUqRL6!2FuM1Nh~8ikX4a+9JnIeOqTtY zQUAba<*U<8Ug#{qNAb@iSCrN(umLcsTIW8;&>c^gB=1HAAtYJEKW(qFR0H5e@X{Ga z9!gIpUAD5;A&w4_Np$E@nvpxmk}h{?k+jJ{DTot?E_)QkN6qwn9Pin&Vz`&|SP?-w zR>jE5)xwU_$8=*<&)%1J)He_0B$+HW7H{56^UcR zy~SJ{p;t|4ECd~#zS|&rXYikX*Xbrw)&MDMKK@t#=bG0>@qacQB_vV&K|B*Y?gt)^ zpnsN(@ePQ0xp|89#u19*AH)a68sDS%F+2^{m;v=EXXCONcWStk{7uNx9ZJql@{FgR zhXW~{h{qA&ld?xna!@kSt4SF}U7I^JWNnAa0F@|8D3)O!L#V_81UvdCgJ^fyE;Gio z`795MKw@M0c{+g8WJg^<5ySu#en*9Hc1cPoAuf;;%1-b;d<8IJN z$jIkeS9v`~m9(j77s>e*?q(F3!VM0TDO9t#`;0b~#EPRUfL<7J2k?vx{ny+}V&($r zJv#^f9ny3T;B_{N88S}i0N)Gx9L3L=kI&-S)SsgG&+un~vh+_~ogB5YM7MGt=`5bf zQlMX?2q%g^rzF!iM{4x>G?)F-Ga&s4DvuttY zAzfKd5|1gZMD7yhnjH`1Qhv*+x*RJp#n?bW#^FxSP~Tiu#J@7bJ^|ccSE4!YOrS?+ zAG}}OXjjwVVx~crkAskp2|e;G0@vQSq$F#Z>oKHs%2Au-=38o$bc_m(N^Bl_=eaaK zh`ij>%x(Ri&mcvQO$V=9OWXrS>))Q&u&W&;box`xL8fazm!)2=f=YeM&y$j)_g(*JkN|Au}ZDx_2lj$y;}w0jO_|^n>ywd)4SboQNJ1RUaFJSoBc^d>ZJn_3O!1I>~A1qyCWajVs?V_I<)qd8%S zC_7=?=0<^Z>0T6%%h>O9dc%_{^a+N;>p{!5Ewpai+c@CCkaDcD)4CURXQp*;PnaE0 zZd#YOEM&=r{@zJLDr(p*v}28qK9w%hh5;W`1`Nz4pFm{W@JHvpMn&|5a9<502{5QM zedn?dM}tXAybrPxvKg`$dfq9qn8IBBcxNu-tKjayD83g^C%%d|*w_2*YjfU5@gb9n zT})9tVqTjEb|1l?2vLP6s?Ji^tI?1KFzCfQI`ann3c*S-bqT*ys*s7H@$Pk8z8~;3 zF(*=94wrH@P({U7)eX^R?IM{yLw0b zR-gwi4?x^8pifbm8sPN)f#*H%Ul8O6rS)HvT}DVzcFDbL)O}>*hrLoK%Q%IP8UD=T z%JFt5#U-$VEuP2wLYgHPbce8Hvj&4v{4)kai8jS0DDABdqv}zvXmFZh5$)m5WaPC- zmZSGN)u)9ZB{28LtwoP0V1+21W>{34NejBI&7vrk5_K%2y~%H`zj|~11hQmv1J#=w z@S1Z4xxLLuQD1;YcS3E$EalvEu0YE4D6L%c=q+V7HxQ~aP|!?TEpZFpm1q%UY6OO( zCnqb&2)HUvHbV`tIR#xPWW%Ydcj?ZeMmlBe{-$2Av-CbHhoD>#xp3nvjRf%uRJg+^ zYdo6gyEOaoJ<>w`OTT351Phx}!m$VDBXaVZt^0BAwD(()NF?s>j zs>QmIg5jz%Ui9VXQ!aB-l22ch18MsDv?I~@o>Ol-|M(Wz1LcY9;_(tKO#)hU9&pm8 zEXd=<)0nUID0c@^lr!=@ifLXNJs#YS+*WOFZk?r(?`)hUbFah`xm$tzD=1RbGZRAm zMQS_6i+fkjDYGYH4ANmC_leS!i{f5`&ulc_7;F-Ax;B+T2j`mp>zkt+i~%SfhfK)XX{;F~Lw0;t;&Xa@ zUXK)=<9zqDAN_cj`FJ;;W`rw4bzWg#vpM3YUr`)F6DOC4f;tJKpMu09WUuY+-Vdo^|VpZz~kIWxHic&J)qxemD zda}@*@?z;kpv!ql`{c<;`QQ3YbLnp@ConNE1G#-)=b>!S{Cy*C;I##4e2?Of;b{O& z&ZJR-asNL3m$FrvaZnh8!1FTk?(rzjcm3`{wQ_ok$Je6x&gS1dL59#Y`Zr+#8oHq@ z$q86J1eoBKrzW{L#&uhsFO_|N5>-x?)|kdH`3$*3eDe%2{kmK{AfI! zs42@4z+9~K%@Os0fUXt>N8=(B9e=%WrqSo|Fy7W01>oaGWwOVI>=;7wV)Sk_PdsEK zXQTKIhnMml$i>qU=S>eh0MNrOJijRs58-%U#y#nIT(kWO!qEF zV_cbf6lpz(D(463a#Kt<5o4MtYuvWAMDNR%R1U4Z!$=BTgQ1U>tDo7B0eZ|&5GSV@`sUn?+kJDSL){+OH)r=yEh9k_ zYXq7M9r!}yKCTY*onzyDi*=Ka6(=ijH`yxSD=ebiC>9u@-DXvL1gLpqAlox}_~1MsE6 zpEYIO1_?VGe4lObEC2Yy55Mcizi2l2^MwumV$$F{(BSsQEsgszp{Kwk?gt?pH;r?> zf^K!$zE1{9uRa4?odr{&GxvVy8+TBgL;h)$y&t|)-n&e~;+$nnsJt{O!*%(i&2GNG z5aM6Pm&}O$N@3;?`k8;VF!QhbnLkvR`8V+ewD1W4qeJssg_%EsFM#A}!!=$LZjqw^%u^5y8*=5+02doLEu4h`j;*dV(dWsr<%*MxZ4aqIhz6CBfo197 z1ak7gj4)xg8pZEza#gb`$YR+h_BzE(2%=^q?xLI$TYBZgYrtKU(?0A)Urek8MBj61 zIdb|S^VmfU(KBO~bCm~Y`oiMc7ay-&+?L`^6oa#)2m=bRXle`XuLsVG^r{CtcyXL1 zTblFN+qWlc>ln)hfBcQCtB{)EhmThp`2(0_TsfvjdvR@KU7D1jocy=JU!r(DSf#U@ zle`?-4nAzghh)Fb7W0}4RZp5(etxxiGXL`Pq?aiERaB}C@I8m@gsA%FSeQZOAumHvPF{bheBgABL*Rl-5^jN= zwL#5D?JG6t@E7q%nulbA4yXU_hYVkh9~ult(S1@Q*V9|o!SC6#zzY_H*y4c%(!>KN zUaLN^&u&z%G#-XA1LT&JC5j79lO`^z(Zp`(Rt%}Xq-lb_za}33p%P6f9`1xGK#VL= zihuyLnD}ZXObCPX!>}$XjAUhKKTwet9AAf^Q^CRaDEj65*s?8O!~Jaq!y(Jz2?s7lNAU|GyQB<_QJBA`JOaQmWrn7^kcPjTk_sk3JJ+T zK7$N;rb0vY>RgdboPc=+v6Y z1C81qYm^;^RBkj*@eX6%>JbhN#z^%8b*qNPJ`@=0PWm3!rr#E;3hr->O4}55`=b+k&-b-W8L0YLI!{E6r2AZZASVG${xpe46E+8%W z8wmzI3cJrtKCnNK5Z}Fx1f($9B*kG0Zo}sgL*vr$b}bzeT6DVuNS(4f0E-$~?(e1~ zer1Y`5J|8C4EdT6NzgYZ)244O929cjHnL$BS@4hH-aiDn@XZj}Pz4l7&32#|Km?SB zfRQWa3oGCxB|(|D0iqMC%>X%A`5Ry)NO)HnAlViWO11>$tMf%G)biS3WmeEavV9Xk z`B(@jW@kamsVjc3P#m!TSI-xbQg>~G13`hAy3{8>9|B5y98g0JZ+I;leqTt#RY;OL zc`zummjjd^o-a^njRu3#;BY)SU!c%jDhJA!LO^Lx8Jrj44U=d7Sa%wxO&s3v`!OxM z)G+tag*V)TQK#TynVa$Kz^J2-BD~>oG|IbLy3jB;?1eYXG0tz;x{5h-j9ZYV{%-sc zqK+3G4B=Tr?yS+MuBdVss^sOEa``PUVC5b^#u3uc8H@|as4ZMdk!XNCG{GPnyp)xv z-R15DhR(=bws#pSUw(d_d9ov2Tl-;jZf*_o*M9yR)RShn7@m+p-}#iK3U*L}#Pjk} zp7@aC>5Lb`PI3Dqmoa$%C)bO3nWpilC0jn#wZ#pe-113x4)-7MpmWpFhV~ysZ#x;O zt*DiCOHlD~_?P_ll5R526Gw&-3;MC{!XqwvX(iWk7=wqS$5Z!Q&Ec34Fqi z-b8|KM)69(?e=4F9B87yg2TP|g3v~BFEG}O8KVvz@-N)xZa$G5)h&4{@yyQv;|9&k z$T4y=^rtiQA|IWD^dNFK(8F-fZpD5ao*HXzo8c~FR7Sxsjzo_fcW^*JcXyzhdH|?ioa&kvrmIr>-SrRYL}m1Z=Mo*pL%%|$EG?AZt@<* zb4W2fhDDs=<7Qa~rvRZ``#Gemf0)->(DN=_hx@+hD?SY$F*ycz_n8uXb8Os2k-H7p ze{b*(2i+LlyA^#V#kd=T<#M^AeZWzC89JbQT|=#aPi>>>S z#VJ*S<>whKIlT_@*T|()kMAL~G96u`OGoZ}M&){97W~K^F=vn5rI-{^tN(Pr`fX-x z^e@p?K!;>zx@U#gfirO6$|p%IW-VenBE{a0pM&5}yS>5Nh-~S>`>Hc|e2?P)iKjau zROs?FfO;BrKUL2&a^06MWhq;`9n<3sc*cn)6G~!Z5rAYh!q+piOr>b9OL`9%rAkjT zGJ^{~&0Ypo^T+b0+0F2wAPhgAeRCzrgC3?I8*<6x$A&WGA%|$!b3&RHx`}*JMQP-7 ztN#T@KIhT<^N_#AAfM0D$Y-4o4Mp)QO%<;)&+g-xtF)zY%*e_Y9LIEH<;s!Q8PxDu z8prpVI+ei1O!Np`LaxpSfpdkqH1c1$5Xcuq_4q>k4F>sqmPY;ogZwDI%T#fXd3K+` zDK<*u_*Dym<9B1i=W_5P1~q(^#_>B$ol4;1`N5|{;o!YhkpJq1Kt2)m&O8o& z#vq^1O2|J04*q6S#k6^LpTJjC!ExV0;FxOxXYz3Tc7qx|gK-?ivnD&OYgytTrsoUC z5!xutM6N}l1(A|(%ER#w8yxc)jALaRl)SeAyN{VF<_pKyRlza6Sr;6~6o$9u;rRbB z2;#Fej&~FgnbN=teA zq8CI0eKe1%K5IyV&(c&ysrGB2s`)j?#A#pBZ#}ROIDVVKM~=z+MT28LgK-?ie`m6b z1QL>s;$V}vomBierwBIL!UqVALUcT~ag z=7qrV|HkY;kVoPx3~Klc#<9y$4k(Gwz6iKJ09-#O6xS&sQf0Rx1Br)=6>jt@+5lTp ztZb<=?AZ9)IcLkTZ(e}PTZqE3Kvn(8ZHW?biy10e0$*?5`7BKbtn)+Llq&ewSGPs6 zRNnnLcUu+YZ|w&1%WgE_^37#=b8d&hHJ_DmeVNX=236$CvMZnrv0uEqu2;w0*!4$ zhc8oPj~grrS9#F9^BIh6o0(3?>AMv-aNaHV{XVR%{=bt4vtAT;q*+W;njKc{GA3he3r&B<>J+#;D=2W z!F1fQmUO4Vdgx51mb4l_>|jf`Srvutb!9*?$3uR)0F;ufmAgwzQ{EmFy5OcLXZH%F zJa=~ggdrO~gDEeHkD2UZ3$fWW)PPHB17hS=g4q z+xK{XsfNFbhCfr#k@E))IrAAz&Z-y)>F8hF68Lpr z1zwMh+neS^1s<+~{DTXDe4^&rJmi1WAfL}* zlUo#j(om-;2%=@rpYR$rI1UtEv#-Ro`fzs!cdW|bjxWIA&R&U5=Wba0b~CtqmKfZt z?cf?7!VPQlwW>Bz1;>XM0>>0>xj0^FaLi{gj@6*)F?B{UF+P7dK2in8M;8jmpURVo zg9gWZmc_AAN&ciM6FG`c_1-UXxjvJrIiM+5MV~@3qU1*AzKy88sxeiCR8GnIC zxfx`FN4|ME594t&@&W@9tTk_k&Bho0&8XXq#?AN(<`C#}P+_tr!b9zHAqFG)J(8S| z=g>v*KQ70%Lb+z^f0$Q2^WHZ{gftL$OfN`sBXl=#XesB&xCxjU#n~g{QOt;z{u%Lf zoXgoQnHGBe7G`H>5t6fOEdZwb&D@h1Ogz($o;@q6xOfcTdLBkvuuss)m0$4ZA)`#_ z78y2@B}V^Xew#D2$4n*V6tte>DAcin2BLaC>UkY*Prna@wFU$gj-HodI=)vK;S|uT z=hjb{cRouqLRw?L&}4+ynZMvRHWfNOC$dm6!~v6 z_z~~?Tg^M4m5~2|6!JfiPyHLw`P66-0RHnwBg=SXBI4dQ1Lk4#JZhe1f}S#!1WF<^ zK|cV+?*~i;goyuqAW9lcZ#I>bBMAg&=?+O;je2xLo`mfwvz>r7zFVdj9c~eiPf!tQMP>8XK##?6{uvFKbm49A0_g$+m9K1Y+YKqNZ9m_nfkdZ=znwp&~Lm$f00M~ ze`?UrXE6Gs_zz8X;{`J{e;K^{lcpj^f*0Yqr1XA^!EvDUZg7tOMLrQQ;GU=$s1d!r z8Bh1XRLQCLou-m<0=?(0DAWxdkA{dS*gFtps~ih#`WgP(jF>ROIrGkEFd3*XhbaGq z&cb8>5Li7+4pGeZ_|HduNelnGOeLWt@ou;kzEt2^C^_c;!8BZM%p0>P)bW^?-9UG( z8I+z`FaFn8%((CwJkU}6_a?i{cgna=4AI68nCm46_HAVbcD4_Y6dgh=*)ZBIF^j$o zYWkxg@{TMiNAWo%=Y1)Cg9cQaaFAw~0~cS&1*vqybPNS^lv$F)4|J#D%UU=5`}jO7 zKeKor>|Vq0J%#re`^y;7xhrE#<1!f4rr}Q%G+dIA!7f0- z!SfZ41@4Uch-xyggkMfQMBirK`3&Z4D#BQ2(;77gXg-stLkvKX^g{M@g%HHO*ixd+ z0{i&K*zyb+STxy7YFAVw!g0^2lK1-d%|_Tas$l1%qa;-2qP-KUoaKH(r6Kb2po-!V zaGbm^rJbz|(pY*rF=Bf6rxW8ZmvQd!YK^9IXR|Y%Hkx-ngQrszM<%;0k4w!_e4VK% zr7Uy>>6|TPk#2%)c8v5Wrf)KQ+7J`X9WSn5b*DiMpTRg*mL){5e{tV4jj(lDTnngO z!S{=*;G4F17w}Ebd^o*AKxjRw93%} z&Z}@Nd<Eu0zy7&yHt|-3NWVc%4XCO)EOG{kHNVMg&7U~quK%K7E@&SWmK1<;Ekb`5^{7&Cx zmruhbCr_`L2rXwVa_}E5SGpyOCQr$RUyUl;%v#f|Y1sJ3ihL&}8(vYiVeG`~3ab0c zGz@c~$Vpk+yp2r5B?aTPDAciFEa~<(dmb^&v!2`gkIfw7Gk6ZEZS^b=*<9a92q|Zk zaSe7l=?<)XYkLv$lgLXcH(JqKq<$oCl^b0`#-j&G`h;{^%ZN>2NwJRCo3aLi{gj$Q8kMAL>r3z#h)8xeC$ zw+D2WjYve-lERaQ#X<;AswzH-zW}QQQOsvB`lI*?lf6mes5y$SG!+G~k&-GIvj^*f zjjJ)0-`5=rj&W$q`k)cFstbeb(eQfsjW%I^}NQ{k&GR9QvE4!d3_Ux0-i8%c8&W5+J;3Dl{15 z_Y*+BRNj5`FI?Jc?gq zs+cc5acdPEW67g)5-*Emvg+m;6&J@pVi3eiN{gVY`>7WAwHgIOdcO zr(=34b8nq{kHImY!8le{{rBj;RlIpG%R9li=S%V4Q3cmK7XsJh8M(Or6@zO&gK-Uc zV+w6D&-udb?NxBQt2?-5pMvRl_S=Em=8-BvhseI)G6>?c1a5CJ*)6Y_Ps8z!DmcEg zJ2<8sFNfnNROY$*#UG*?9E38J(GUx`{~Na_n(P+GPk=|vmtG-pXiMr9yB7e*4V*8w zen%I_pD{S*GnkH*AA|20t?g~5iuuAZqvw{^-55i*W7S!L6$H~UHY+-O*xg+4ltB=m zrE$yv(v)()0l&O=9w>jsJL}(TvDpt{Hu3{`glzU}+!n=OHa*Ked-hcsv;Ex|Gk8t7 z<42D^ti}E=x@`L!Z-miv3;ZV!lweH#VE%uvjOl-wt_t)2C-cr{*#VtpogZ#`5Ahe` zYk9671v+#7D{Q>m?7&;dn7`$=baOs6PocP5x_fYTA&U7di+)@z!#b6NNedO{RU14H zSD6C~65f~F{F-cs#e($P+-@CiPW6H{fWE-W}^sZdE z#^9IF68NPgQ2Ldc=Lf&{RKYKUb#*LvbJ-!Ia)pcH_kyH9pqzV^L9>wb4d$KCV3aGe zd2M1d$_|VI{uRYic_o)sR&2WBwCBDm=x2Q3j?o{*6m`M4P9$35vT~*5MuTfUOXGU2 zk&;pTO(STZG0*Pfn30i7tCkD`e8F){2kDi%ZNSCx4ufMpOXC=~CZNtJ{F~c91 z#xZ04UT7R6M!FM$-No?%gJV9c;25DYqIjRFBAAX#9_2h_a2&Wl*j#9Q1~7>?gR`nD zdgX%^2D)dYI|I$A7vT(xp2P1{;ot`77&D{9XW4;nB2~O+7PZMfCkf2a6+Dktz%yb~ zc40#0$Ym}j4ThuM9Q$srNY5B_i(Ecs-uVnhefo?8&m(>wR6Sp39LB4leWDv^k76P! zn7qx&^}kW_c5(b&2FH8`<5+bco`(N?(`=Hn@$-l4!&Pv7q&v7KIfmn!_m_NLaV?ZA z&mT0n<}(=AQT!HD==;obzUcU972HmC2e;+T|7JKX*Zlt}gCIUj;Fe}-6u-W@8?BAG z|4*r$y~}uybMTB zm595IlieV3PxD|T?!i(aZIUz0;)MAF2mK#2?|ha<{|A8Z$C^@6T8Lt)y!+@sRfYN) z61NMMR5__g?@Keru`3myFu3M37}rt!QIlOb481P%N#avga6G*bIOa7Z&7cG>j^_-H z`7D9sPi1iY7}!WK9hW>vz?i?`Y?GJx1VsX?66^zzlmO)mFfm>>1pN?YczA^79L`|i zSKevQYy^xbwkbVg36@+qX3HJVWsj8(U~y~^W?cA?@T$7zrMBNNCCYoe~+3v#}M~)0x%pzZ4cv(HI72sZb063XnP%2Ro6lRVyw0aw8KLI51@`W2K9YA z>XLpBqXze{N%ZhU6P_`H1>U}q-^T&e!XNf?6Ck1|q8mLuVK8+JJ$eAs?FP`wm0#;w zex8JP)zf`*xTyolTz!gSE+^rl4-7gmFF$>A**i_R9ew$EJmfhGGMPh(@?>%V$Q}VY z_5n%9fSj6ah{Iu^ezB2_QprmY#V3l_2au4^R}^nX-sCEnE#|dP>q=2vmd3b;U#eJs zUXDLpj;6IJ-@_*eR+A}3gk$Hi-WM$sgh_g?%yj^Ady@SPSSzmKK* z)AI~(^C9w_Dh?++##VuGUPciY#orB1)AJ1fCf=QT$=;8E-Y4*!mMqC=JXwjKY)5@# zz`6*H5kP&eQHvnOFh$AY5J5MB3_KA8y5KbFd=3gdCDrvCWpE6#bPO|T9p=?Wyi@AS z?NXlYA9*~iM)B?D<1Rd#H+V(ypP4Cn)m2YN@e?R!W@j?>{-LW=??yAdr=S&)9gaYw zy0o=HA;QWfgaS9wsssIiPDmYTfe+~nO z(R{_M_zfQ%NvZ%23o1BL$!~^%!(bf(&hPo)NKyrGSWv-{N`AjRI6U;v9n=EOCw*`v zsRB4GsNhH?e;fu5H;xH7f98WDNfp3hK?O%D`G3N|S=$8XvpzVIQ~?|oRB)t{&$S0< zAT_i8)(1zDDuBa+3XW9rckRGg4?mhL5XoTu4<8&!ssIiPDmYTfKeYpA0N`xEkJ8T9 zd~hVG0yr$F;7BF^D-4|eCOH4*gCj{5z+piJM=E(H3>-_!&&9PVQJmt+l2id47F2Mg zlIJ1C6;O$M)6;jnMco~$7y7_RQUzdGP=S$3uJ(b+lUUrdBZT}49~?=l01gW(I8w>C zg@I#v@~eGtB&h;8EU4f}B`ey4BMW(oo!4Z+!Qfs4WkCmbErwP~yb*7=;GN556<}TE zz{+>H=E7jLBRQCbHHsyv0yr$FsFO<8wF8GNiR+Jwx?6p4B&h;8EU4f}C0l%Os8s5> z@KS?K4lp}?U?iymFf6FRNF}$o1BM*Ha>so>Fp^XO7#37uq>}wUFli331_QMY|8=15 z^}&&(3gEDyf+LmO=Yx|SsI`tD8}WgWqzb^WpaLV6jD`SX2kIdo7)h!C3=1kSQpv+U zFzJD!)Q})m!m6iza3rY$I4r2(NF_62;8^40JAH5@sRB4GsNhH?Z*30__dnXf`kpK} zIjmYrbjYf|&w-WiQT)B_V3jFnRsA2z!iqgLCaD7ISWrIUX8wy0j3iY6h6NQEspLaGF#bSsAynnY@A}|KQU!2WP{EN(J{khf2FIHJLmwPT zssIiPDmYTfANk;<>4tis^#gs{2S$=A0KleOk}811f(njQ z@}v)riyN*Bxcug?ePAT10x&G7z(^&3(;66Jg%M;GGymuVBS{s2VL=5(D)~|d7&!r{ zZS&M+i$(vtuolNl*K-#A<-BGE5Lr+`luDj9ATERMEE}FO)+=>-*YEMKKE5QW0x&G7 zz(^(E%-|~n3{7bUvQY$Hh7A)LyIzti0KokV5E|lw+2QoTej}0SNXt5QUzdGP=S$3dVOHB14YHp zO0MgDa3rY$I4r2(NF_J;;A98Nm0N3lU?iymFf6FRNG1I~Fj?HtlV~N^Ej~DsQ~?|o zRB)t{?+63OIyHuTa3rY$I4r2(NG02SaMD9Xv)xYc-C1D3pT0fib&&iLW~;Iwnnfzu-Gu^>1HI)(Fx9XRW}qag0yr$F;7BFQkdiUjeWqp=uPc0DB&h;0EU3UpC0B+3 zV`cM8d|)K00x&G7z(^%8Z4V5GO6SuxJ}{D00T>okV5E{)h5%z_&$T`|TXz=`5#p2s|b3chFBmZS=8OTB^$spLMd?XNg(^F7nHBvoiz>Xo*o zk`b?M1_RT6OJJ}R`AJfRwxwQaTPm6K+GaE%ZCfG>rP`LH3T;ch(zaCcX0L6=f6%ri z7DTFTNvhDc)GKXECFi`hKj}<6zGuc;k}9+<^-9}P$=kfP&pPAH_e|T8RH1FDSK5|J zzTaz`Hz_L4qvyta7>m9{MxQl;9KqzY|Iz0$T+@=IRZ3`(nQOMu!`+Y$)3 zLfb5;{9G#eHLq=k7}B;S+)%1*NvhDc)GPg#N`5=jwkO)C1g>|~osXkHX4*+o1#nnU zQIS-V0Y|QFR#eCp&M8z#QiZlzP}`PD{?u!mH_vO^atnQ`ZAq%ow$v-bAeDT^Yx~cg zw)vjHxg=F+Tk4gzrIOEiZGXfO>3q+$ElCyHmU^XaspN0Hw!Z^GB^2j;&$KN`721}1 zrERI?OJ3VAcKXftOxu!Fp>3&G+LlV5_S$}q(>C8TZA(&xwxwQaTPiv4wf%ahZN6vP zmZS=8OTE&zRI&`#w`-3_@wn4A-!pAXQiZmqUTIq@xx#Dv7N>2#r`pb}EUX6?TAe8O!J&IrKfpw2F1^Au<3wPj}qzWjJdIcp?$-nd3o_5;id#ddWN(Lbo80@M; zlw9kegzvbv(gW*N4p@9|50>6+RCx_(wF4I4qxhyKSiMid^@nl10naGjcp2XjmB?Jg zwhWP48$I~Zf7yeQ+wj3X_KP^yNYR6me2?N@JWV_WR-~UEF`v$vPpE;PH10+c*Wgn~ z3W;k_6n*H9#t9t2oWY1PY}vxcc`;|{i7yQ$7sV+gv_kU`ilx!~T}V+}^1T4f-)%m< z9ZwVKBnQoZiEo{tdAM;D@nI%_XvTgyf&YsN;l!LpcnTe@5RTgeG6?@Zq$tAqUV!i) zG@l;Bvk2i|#JA26K4wsU+=P!|bf<$r{}c`!GkhQe{Vl2iASUeqq|no90Cu2Qn&N*H zDLMdrFBpKIG@ssuXVCz3%2@6Jq8VRtQK6amvS>~rp%t2UqF5Tu??#HEneT-`lv2!V z`FVbBB zJjK-2zNg@o$h%R@jpiC;w-@gcgjb@8j>C}O4bz6^{OzzZPa+@`M`^Sb^V|fTP>gge(N-CebqxPEA&rO3*5aKJkr{uLxkpWjO-SL|hH)_v_o$bF zjO_p&YMep6hs_+D4W-UTaSXVW0tfap!hIwzbYGxGgv=53dFBSx_AnR z{i+-;ldE$vusnXOM3k;dpt0z{zGDY{sM}Vxo8wzCme&Q&+;B zX(_5J(wdjQZ;m5Hzqy*LX!k=H!;txvwhb>Hr6^5b!@&4bxC|oa>I94>Mi(>R{P^0= zZhXmTJAY7V>@QwzXQ|SHvfAfD;CuHWT=jIJ8UsO`<>h+}m5_>-pC`rO{$huh^WD=~ z*Z^{Z;j=Kv5DP2d=_esaqgZzTB_Alk&Oq>x<&PU)7{%P5NOrW0c}T(3a`@9X*Q~G? zf07%Hn^^kWQIc1*aGstr9FkYFpxxE~tK1K2raL4;1CV;=S&>V>8H1z5EDYnj_#4+HJu#W@lr%>`1)OOCOIP8aC`|Zfh z+jZn-ojiPSe1!&Nq_k$%cNDeHp!QoDH{<_V^M5s-4Cl=2F^(XWkU=+k;6jw_vPxgT{CupE3CPwi#`=D@EFLG3vf=M(N!K zPe%ahQH;Zyq|S6|>pJKFo(8Utp|9tfYRDEC9^A{*3wU`q2(PywyhXW-+F+S94YZ<` zyK73pC|wl^05w5oreIDup*K^xW^SMo>xu30T64JpRi7k*Aj9@}8 zR+OWN^J(4uO-}9CK4f_AG`Q~;$RUvit#gI8wG}mswB~{}-^3{8HAU2etfXk4Gqg<~ z^1YDxyk3D)yj2g=`9j;1QajL}am=xkre`w-mnsE|bA-0x_h}e?8U-CwTDBWGKX3JR z_XCF~F_I^ZK6}g=K_5mxZ&0b+PzqKw&lR>rOIaL zl=SJ)lhQu7j8C3Ut+kY~1O|dE0V`R2i-3W|d=H4&1VfEoMk=yzyuZ%(75R36*0->h zfbDTRi-30#Zihy&FVT4OdTG5TA+x}3J8cmVH=c!fEk=p?aXS?z6 zNSh(0%0e;*x@RjxpnQfF6We4ITA@uZSLa#SXVfgI0j06D4lS@J#W~8gBsLItF(1SK z3Bat^rm`=BGjhiK9A(=Y$Sl@IH0=Db%pCBhf7mf9cvS>*m>R z*=KB)+Hy&6@T|^3(iTefogg~D#BM2`SH2z`W?9?%!|aHC#)eodmV|QTEMCOUD5s0~ z7__5L-_?e$+Y!U?JfDUw!QHEA%gFyyt}pY)fiH|-x#mWB8lR=da@3I3vTJTMKYopx z8>uvLf7kk;#YXr1*gPu|F5x$4)&twG?A2b5+RI_-R{iE#J|8i;R*fl?@`B5GQYJN0fb^*@M?mVCCEPj5pl=d}Hht=KN?asR~Z{7^h^2Hi( zNGsK95BI^-M>1n}m!@M3ZEUH>=K0#|7(+`f#t=_~O<*SzgCYu@h1cmD72S8jDFs* zcJt#_h~<#~Ev(-Bs6lzLhO!z*e#v&NmeD(usl*Y+9Jf;TZ;d_ExMZ8hIkzLou*@7MDEI>qwH&l^hDJ|+70LG1&|j+p+< z*&4E%VDqaT&~81~mgts6Fu&)RhH-mf`FmS5=3yPdlsq6UW8@TcHZ^2kTiVH&e!?wg zocn2paaYgnh+n$b#4x?Ek*aoM&ErJlVJEIrc8|EtQKk2CyN!(+#rdRZFg)b6xlJxhIiKXiP{Q)CSw-!R>c!$Z??wq zZ}tmu=zY9P zQ?+&fOWC@!l(rR`_PDVQ^tRA}=ABgS@|JD;I4mySd(OLZMuAD5rQ!<1U~-4&D0JNX z9OYU%3)^l4cdzfqa|}M)3AAK2=KD~LTR%#ugG5~sCk8)NvwH=aFft^ zD-xqM510>L(Wx#~)^^L2uj=UyKlimBewdKGnyLxu?|hA@zwn01VR+)wH)iL-pQj5+ zor`0Q*7m*~&l-YcI%L)~=TkFs;pz7Jty_2ASc%PJ*Kv11E?<~+To>%y&FlF(fBP;h z{S{jR7vp@jv#p$LC%(#^`%nz)Z*q$~o~`;pI6SOLONH+m>$LSUtyb@ANGsK9?=<$v z2H5JYw6Z+ytBxkIw4zBIG^>AH8|B@hGe%e0j<;#((4tl7-FwBemDE{BA5pF+e6yWq zPB|whtS(J@36V;!^eLu3!FMY;6K;oYfZp7Si8o{=l*Z5 zMA~%%jZ~ICpRN(`+T{zeK4mY=X~>tX=Z1Qqp311t40hwppsZWupC=3(tNbV;e*BR< zts}o|yLQXy9!kx11QPDxw<{Y<|Fn0_xvzk=-ui#dx$`8K_>bK~g*!F4 zR5^Eu6`)k@S_AD*5LEM+*tWW5PlTN~tnCT^Oj0eCiaCwTuXLry*S6hUpBi&4 z&yTge_Blh_^dYY~H+S<^89yJFwLLLqc0n^Xeh3#Xcap%hC)(F8e7;*&!Tj#~uOl4z z)iPpEg zwQ(16+<{X$^vJZ!UC&bGLHe5*E}nyz_AIqlSD5@38E*HHwf7?0QRs9pnY5iZR}`Ky zyA;apme2DpwmLUh$03=<&T5_`>$V-k#GS6QF$e!L(%+p5e}T^*fBd2Qki+}!L#Z&EYY0B->xr}29RbujkEqo%F9y%q=1 z+jJZjTVFU%?3~E!ypEK+2SHGk7Y)JPIqA>J1s&b{fUKR^Zgdd;ci_5#Lmb%ojuyF_m z58D|wTb%Z?mFrWjFjnkCrk(W2wy2v2pLlskK;5J~_Mv6nFK)tp0I=>s-GmvCUV#rh z!7ovUoBh15nSU6YxAx;d%MIiIA@qAcda42TqWGp4H7tT&+AQcHs3%gUlF+#_ zc@*XMU?R+5U|M!)1u7#IpFw8s4bG!zGo8Qs>YjQ!<5CtfE*D|#CUu%!muDTVjctZK z&4a+UlUDJmr00c|a(k3V0{-SnsbyidPPX4FxgE3YZp^MB{3a9aWYK`Fr9*Mj$sx6- z(_6W?<)U8B))OW)IRjqL;Ee*AA}O)1XVz7;v_Fpk>U2J7CKK+Kx6Ut8BV z-2#%csO>C-_(@=B+%S>V=${0>YE`aXi&+T$pcliKg9pqQOqcG@u)+AGVbmLUYPk-D4~oYQ>#(?)NhF`iJiGYWH)MX`}e?jN1c0 zSGzVyZetR3N%_Y>#T4#a5Ws$;xf+{e>wj%uTb4H0qDH;)iuGl` zx2|y%Gju#9PqsAFQSN^UsY~Pq`^f;D!lhV~rnjzRvc+tdgBz`Kw5vOd8-Z`KB+e#V z%t)b`RykV3$jibQAZZBHasmn+FF7NRCRY=~#SI7?lDA!ZdqADX4Q-wS?vLP|9NW@< z-q$j9@_^zo)IU~GtNXpEUQ$t7kI2_*#Jsmoidx$!zwx=&Hi|T)TH7cNOr#odt!=2~ zlm1QowALdm$8DvP6mdx5e7-(WYa6X-wv{eXYa7%+E>&&A>JY6o4Ry7uvpPNJ?O)y6 z+As*+yp^{_Tg=Nu%GuD-|_9RI}X6pc`)(mianCo zS9{F06_0gcNc&!@Z`>5mOtHqV+BkhDt#XCIeHOSl2_2uV;&cz_r4ie&I8Rs`YQ@kQ zFIP@!a9Pe232@gsPiQ;E_;6>i%GR*lVQo*g91FDri(4$_D@tShO}(LLBkEdQw4@ex zQJ%2ASl#3#XiWTpTC-{`_Dj1u%UEmL*RU|IHMgpEh}F00YHnpIuQeyCxfN&K#m%i; zS<#-mg=&44!t(SDt;JoY_vIy5Wd<7?g0w!{UfNeyyj9F)-cK^B#ZCii){8lxPw8qtr*0etUrVWvIm5aY=!!4D4uOgeZj;xH84r+U(Jg}YptR?h!_w4D`yBD;*?C(7LnyX!>z^&WOhESd= zwJi>ws{JPo=D}}m=lKittT59)PRaQ5zsd_UGx z*Cnf|eyeWIs_ks`ta9=a_DN_2N1WBW_BpG<;P))OKSHHwyL?sKm)5ce zNy(kG<>DMw+w}Y5@0HNjL-KYH4u3yIF&uv0YH;LVSflIoe*eSl5}jxIdo%pnd3Ckk z=aW~WY%e>}zby1t+7=&r@uAJ*+CY_s@wiVjWc60DmTzTB$l!KXX6?tDpD=RDjl1v1+k%h=n{YOw7wgp{*e}c7 zo`eYOLub1K0 zw*B;ME4I*vaGGl?c6ZBM_hm+2KWclect4+xK=${-*lPpWOS9f^@J_Cv&g+X$DXtZ! z*D8V`9U$Mo+Vf!DPPYSwdbySWS#wg~;@bD2m>}oP>xbR{F@INtDGd7Cm!{m0#+jFmmQh8< zxUJ;%STyPxSn#JXx?3>PJ0O!7_2WPSLrAGkA>+AvwmJj|xI;wjO*zxA>7BJvd=g|` zvNlqjqqCM}M-0zT@cj6Mi6kN?;B{4|RgO;E9?97su-C+I*owU{dk}?3D6KeORS3GF z_gm_eXVv-2xkgz;Z^xy{tt^kJjzw2XEVrXwd7X?y zw^o_cwdTq4D*ESOr4(0`W?vBm>)>(V`_C{9w=C1SoIO%;5 z(JaN6w+H-~(L#4$Ky5sWmph=DbH})7iuqqV!;U`|))M1>lL71~VC${&bp`>wP*ae5 zF13*omG<+$cGf0O!Mp9bXRI}PS?fHVwa=5^Zu@yNusBDjZD;Ao&OZN3)wUMS2B{_1 zd|k2b%aW|0#K!BZB~}(&p??>7$ZCm23~p{IiE4@EN|m%!tIEj*>z9Vas_oE;BtCe% zoo(wbupPS3*r2r~dI#cCi8YYtQLwsuXR|#k*SmKvR;8fWp}xK;>n{su2ktXA;9|7| z)h5c~P3*+Z#=Mn<$CV+8X4ooMXYG&TwD3t2shB%F(w49PrL(psTX-O{xb4Iv+4=q} zJ7279YQGLsbIXNXm#Mks;_#c*+*0}IQsI`C$92MWbzP;(C2^MDF79<8UMSWHj?(*r z+e?(%t5$o}vTH@1aur{fw4Ug^Z=JQb^w)v(R+X%VdU0s~`RhC?!}Gs(MiH%7cr=c2 zdL5?rsi}kv`CYF99mhKRDzj(8y;8Ch*-g9`tr1xN&qCTi^(qke$5hwOT8;2hS_P`* zmAe`f8fm16uX5$9l`N{|mF`TdKJzHAY8y0k4X9j9=`3BB--}p#H5XE<*Iv!V;nmdJ z1TM4{c%k-I_-j3?NBCblv;XQYoZV_@pJ^NTkMAy0fy!1sCvPodp9 z4kmA)UX7mtJQ>+TIP{b%?KecXH;68pBe* z`NoZdIUf{QMK-!;W5Z>H_@P_Ln=+A8HdWfOkk7sA7-TbM_S*HHi~ZJ|e%xUvYr)(b z%-tw6;86A!fpS#sT5Wz^Zgep{d)Ycy6+Z;|;!=n~rYrD11}^Scn8p2;H8___s*b;p z)5Q}DQ>@5^i9wc?n{_K;E#%a~%G zoX%IZeW_*f-QHH*?^+gB8|!?D;@{qO09t0+i;!QkEEM!dRI%hN7gnENm0j-L@#I-p z^5lWS7j7f2hmK!mXIyO~awOXOS!x@x>?~Eh`g*#n{V%kQsO`76CcD@Fw7e~rPw9MB z+b@>O+V|odRonE(+?Tb*{r2;A502VKZ|EV@+vmCBE6S(Il* zc25TQ@}-tVv}!Ike`U99#PU*1bl+}Sb{F=%@^GWt?WJ|BTD9q0|E7FTNBEQIFxk{9 z{7zdg(jkQN%{1>#*oyrMhcMndu&au9CoF8l*4^f;-@{J)((FEMo1+`p;XO#_oYpvB zHLbJy&o*|NHD>MtVf5z_>=n^iwE5qvwnx6*sm^Vw#&XPdQ4MM?!JAIE9)K z?%8D2{QD3=e}~y=8&QEC;`K3CAWf=O=am<1#=wELJE)*K|hZKG9 ztlb&tzHYnKth_V_I*q+`)6im%nA;)7lf8CZa1X$GvrkwebQb06tUotH)9hxCsDb21 zj!{XN|FyGTFp}kIw0s!Uz|on&-;<3;@!Q^NP@JRFwzr|J8RH+HhW>uc#Ld-P&(=2Y zcWafaDg+Cs$LG!ITKY_4zgTvkaMiI@xsaAJwe(TzSowV|eOzf$_I)$BRV{rwoukFF zMWtSGj!xTdC0FKO`dHsjbxp`UD|4~lBe%X$?hIvi9_R14D!v)?G;W!t4w79H%d>Vb zKKqcK>FuzM_L&>S4z{q6tliM*JWR{!`G`fZ9pgzY_ZalrZFoD3|2&bi1-}{pY#M)2 zBP`C>X&>zloinCI^-jx8nsPo!zjWGGmU6d(qQ{N3H;taM<$>ni(e3hf3d?a=+&m31 zg*i29^!o_|!yuyc6XT<>Ir4LK*78}{`Xh};K*=N66ZkiW>*TaLc7H8gcwf|O} z4;X@Pm23W4DVx`yJY7=iWKE0bOr4L7d=I+S4~Kw(L%_j>)hrdx3$e26wv{)wV#6wB zYymFzFVH>^7t$ili!qTm&ZBnb!mt~fIrTGQP4Zi zeX~4N_IA|#AsxZ5<`35AUGoQ>H|}rY+>3)h*xB5fE+hO#b(czH)9igvNaq&sMK1aO7xxY5Ui zdh4ik7e1N^ht<6R;(LsLicd^{r2-#?Ym$1;)yvRYm5tV&NEMY>s~aV zv^*&%4Miea30zAfu8sSSrZK4(=J{GQd)h?1_B zMznn{K51lSNfk+?c32f(YH z*v^hoL?WTw;ck-?=8T+;KBtnXI7g>#b8c!ZI+Z?dT4(j=N0*Xz^1oGWk9@Av)fqb` z*0WZ}r^{QT+1j`ZJ9F;9X<6buf0o!?I#tJ%;~{%tC(Jta3{Hb=Y1C0HYc5fhqB_-v zEQjdCdv0r)j~&e6`(*FJK9Fs>JM0)UW&@&0RoQiAdza?N?Kx>3A!uPZY8@e{ju2$y z;#FJa&{@ylb%da*`^yxI)Oy6qsN>UBr$^t5UL5_Vj*hxe`g|>YYUxu;ALabn-;@OT z@lSPESN_+k7Lv&COIi9@-%lr!=i;{yH>AjRL6L_xMMu2w?BJYB^$@C zQ{G+_|D=vhm*r7Kf0wZ=YQ9zTt(tFj!y|I(@~v*`q}4TBu@Ablqhn*mbKP04oWFm+ zjyqMyohsTzn%%)s$EA~b&ATBNN8G8jZP*#VlD=6UDl3sSf2jFG%^$L|wAJ6l)?(og zb~bl|H*~{WrQJ zLy>Y(yvc3irO3<;iQ}mBq}jAPV=h=k^)JS!Jt%butM@Z#h7oqgY%}>K_YkmUDA{jw zzQ(k13c$0v5p#jWNo==dxQt1&Rrt}Q`jdbpg9B;i5oG1Q;uC;o%-r>n$@VhShMVmS z@O~PB?=l(v`p%f{1MJID2QRre?P8N-FacVaY1|1Q8RdA$+(W|C3@CFMKCeNECibsG zg4_kq+lNNc!5NUjDRg`c|9Nl5baNz9gS`R;ccBT61?goEGLD&R_1 zU2h8A0n{Eq5f0%LvgL8?X+ryvo(49wycXR#jy8H5XHaMgwaRsU3{k$eu^RnZfp4UW zF;qy>VPGYGJ&no_H&!7R52wEt8(8VS-Q1isy-CdsICu*XMf~&NHMfKFI>IRgZOgVe zfFVr>v$XYvPeLoO@;a}A#tqZ93tN8EXv^Cm=pGsIFK1dH*1{Ri6NAG*{8s!P0)-vI z@2w!39UzfUUhOcEe~-)z_&5c!oHC?KVw_4ylcNE`kQ()b4yOPo=PndH0!Gy&?%tfb zcoolda{VJY8EWI4vFDw%HfmdEZC#wPS-3b+*02Tw?bome#6xoA`L-rZMfI!&A`ZE8 zr6^;6XvTrijDw*W*M(+WADVGPXvU2p8Fynmjw9oJ?eI8^u|158_q!P*3?jFKJObh* z4-pow0SKm%dcq7P#+z((5PvjBl?0%ID{n>{cJ`|^JHw1PXIQf$YsoM%O4~gkn{jj@ zBcs8-KsZze4nahz7*7elYfNcM$b9OE;+H@RX_6C3Q3NWv&=HX17zpziPJZY;1rjqM zA;q;WDZT(@dGpu|py3U-r1KOk&qap)sB)Z~i{07@?PtK%fXH4coX;BRcM469r@EF> z1jwf(Qo%SfQZ3wz-@Mf~(<#o8bVe!xX8?&x^h^QjK0KRs2=!3_=(Nm1zhoOX^Osjy zXS&Q0N@pP#O?AW1LjKt%3pr;Bw|*_;nQgL=TeitUKG`MN6|DIs*|m~~TIZ4ufGnd*)mFUDL0;VavZ zvfqkoVo%cJnom!pg6wvgdi1G<<%d5l!nfctJI)I^`XMg(*1iDCA*#5{!#P!}gN_?< z(6QUxD^FC_T+8K8ylPE&VHv(P4ZdgjDo1q(IICQ-chJ1=fW$b2JUbfq;>i}$W!lXy zR|ai?eA|ITum^BRR$xtkZCBS_Xq(QL>}AWNFroBIQ62NiU2E!8z9YYVnOak)&}}Q~ zyjZw@>!3n;Tg%#MFZKLtH^2I!|1W;-zaQM2E0Of~Pr+r_3wguUk6IR-!b;W6_|Ge$ z&SLfJENq9>@YQi`_GU72*t5V|n!P~H1A3|nJ2eufZ*U@!LA?!_+FJ=ht`9k`^|^csB8 z&Owedcl5Zlw*@Eic3{WQ&c^Fd_bR+~Cbzhf!gEPdi(M-zf{FRkRF_Zf0=v~GYufC_ z2?lyQWTa}^R4!Oo0BLLwjOz7ZF$^M%jVY~E?;(F9@pIcLi?>4 zS)|h$H2-_1_JHT_#F-49HS3ha*Q?&#Kd^N6(AE?hriCmdrzZzdIZ)jmVJ+M%(3Nmt zJSfiKM-1=8&E*9#9T-dEZrB#hSQ2ZniF6x&`sVJyC!7#x4)K)t&D|+U3``(NjF-WH zO6$Ou`sS`gdf(jh@%+Ev_3PUjjrZZ_>c>Z)c-7;5bBwss`&1NvOHTul39c~DPa=9t z6z^JY+F{s>VH2f{cGe+O+c(E(4A*@YK;XCji{ken(guPKp)kJeLi##nUI+8&FreUp z=2cLVH{oXtZ-Zt@h;Jis_pir+#Z|~RfUkqdyAJP%&2t_8isHvnTi@L4@a%o62Tk|Q zEn|2!w$IK<2b)noh?;4X8$royU+9GYkPi$T7RA@N9~eT7$r2|P;WDxxIr`i)&e&{M zxE~qkgFQNih+t8??<%J?eqw+T`Se2f6Wil)*<(QHB+7jSSZ;zZ9|^vE{G$I+N}fc? z|LT8~lKl7w*^i7urU3m8KBh3)3!wPZH`fG(;Z@Q%HAoCR2?#d9fhH|5UKxWaoHf6l z7<7yPC4r&DOy?1-L%`H7gaJ!vco4)vBIS5S@d`tFea-Me7(kP9os)9CNx8vExzVK9 zm?BZU+DlnuQr0$0q4{iFy?X%u!x&^ny~@OQAR>0)guaHKqs`Bbkxc0Y$Yj4hlYJ62 zK8Cr;;73!b@NQnwn=(ZY!j6-$GeN~k;=2ISBUz9*PRblK-VSg;uCNFFrs!oynnO=U z#r$K*0PZ*J%Ea|z8(Yzrb2c~ea|a+j1-$T73>lmT3uFKvw8Y>yJ3;M_;Nv*nP9vF7 zZ6xTN62RSNnEuEeru8UDE+B!CeOsgP{*N~r*MB@;0a*)7NAX+o6%gt`9KRw3goOdqE@Yw7#B7>7}D0vJN@c;M+#@iSJY+9!G5j3J8@D{y1Wj43gMj zu&43oWyI`l_{bm4-)*61!yQF&j?lXfP19e(9eCtgWc%ZZXd*Fbm_|~3Ds~#B<#rUB zHcWm59o&j{k?u++35oZ6SxKy?%v{>lxCi7znQ#IvTLIv;M@F4)PbOo@YDk;g4SN;2 zaoY4z&fxFNR3MHyNrwofoA&e|y7to}ll}w-LE3A_R zh$wQ>hg1Ari$KG4nGnO-u>jRNS%%mSEumj?%G1IA5DZWxY>P;2ggYi6O zTBg*W#Aq^xY^I1P20Hk|KnJD1_Q%UET1Pf}I$IQrlCZ`_g|;JFj1qBSJKB??>=NrP zxAVnC7>TW9k%4Rxk}JoC#L5`HN)Kw8ci`Z0`sl@CDeaJWh)6^#!%(^+&q#*ga)o?bNN1P-XG*AXeHVxoo1PZl2+O&)p z(5#YprU@mXKlg!vY_}|{1~3$}6Cg>3b>CDiuk;H`xr_4+gi{dCglhio2$Do+C zn1!TL@xhXs?RaMRG6^a#TMj?=YZR1aYUE6_HM&EQ$w>0a&zRGAUajfo6C;H4Ya8Z; zH9?}d-*95<=%k&|c+-h(t?i88N2w@2Ep`THGs%m(937oB+*(^2ERGpVOJfYy!UT$J zf(5b3SQ@k>#_>*9=V4eJd>a8z=*M5Hke}YnNFJ-Suu~RFGU+?7^_EPThQtt8UxzEeGyak@AOK2znAAfdU+l*DQ@dOYq)B;~ljo;R%Fj3{?=~rJsrQ%^xAmX(Qr_pK{JfX)i(bn6y_8=zDQ@e(;-!4h zq_}wgRWHx4n-mx34|yrS>81RZm+}!W<#(MFhiPwzaJ>tnQT#>)OncRLZfm^Zt1X;E zSG|Nx`(zQ*Zs#6i@q}s9@j*vVKb$;Vms*9t>2lj>nDz#IqsxfFvNjs_O{P5x_Dz@E zMlf$Gc!$C8%esdszz(A(aS*MAC6HQ&xecHBvk(rVZgX#!N*4q7c4vfeZ#PA__wzv^ z${%X(?T%m(_jdCXac?(IIQMo-g>rAqZfk<6XD^CtHtw?eifo*o?P2KNyIV8yPv6@{ z^M1fE@pl$6aV|2o^6|5H!o&x_w8x-pbCUsAD}`Z?fK^lTW@G{~@s0m~d*=h@S5@Bm z9|$hs;#zmbKVXS)ODO{8?+aPdw=KL`V~98Ld3m^daoW8!!dyl9xXdLBA3 zuQ$&rr>7)-*$gk&NM-r0P9h7r>D2S`aHX99FDHoH6WgJ}%fnBeb|Sg)@}*KBwhH7Q zzPy8%f5!3hTT{GzVFxd#dcn)lf-G_z8$lZm4wuGe3ol=&C+JSF-!5>xd`M-m;*P1- z2J!#ddWOAsT)aHXH@gqOQ|v{LnM)Z^o1+c+wz!Z*tPM>B4c2I>X7{=)>XX^TomEh=UL5w~czb(aH3!TpYhe zu1ZPrsPi2BptLC_C8#xQA#en~y7xA{>1gyHWJm` zRKe4t1=B68Ri4#S*+z}?_r_TTcduF%#;pHaph*P}$z_&`OCh-*dTeUu*XTIF~j zg_J#DAB9!=`Uo>^e;+|T-sPp%C`^j+;v`pU^wgOuT=_uQv7PvTp~$rZ#O)oNKpQ<; zll~hFfKZKwwf)paWxS+r5Jwr+JZpL?H{4?9_Ep`iYIu2a60<-3yrc2Wuiqx)W*Q{& z0nM-9;v8QF`~(In>^eN(M#bAPZ@*n#RkgFQ^AH_X@5T6E7o;@HCPMY|2Sg$9*n!W71 zjKeBoVHjnxG08H46w6ofve`n}E zRuFb!3-k|@_n5BrYR^8Q4H(zpy^YxG)P(rO!r<~k{8R=sOt!tck~PiSNFB}&5#4iT zNt_Pqvaq3Mw%$`x_zTPFXt<08%<{=~Ito>4lWVpPM`ut|N0!ex9kF<1`J_4>nWrdH zXbbngYkLRx{-2I}&lc+wN6_yL?hQNcay$Z};NMuKi4jH9MSwecF=Da2m(HB~*@dnB5UImtjHvZbXhkK8`YozzsZ4z|v*&B~Ge&efHbbccx znVa81n>P{w1!rF`e!kxE>Dl7v$m0l(=9dJ|Ce|3q91kS8{RWlB!aY}99jzob?gjeU z%Gt%gdR$)M*1OqIg#E$BncaQO975NjAi7F>I8@LWC)fv$>US%Cu{ypc+rL&-xPn)K zGN|TeDz^ga1@!GHg;bVrD*3F6p43vb(tl<7ny?aNS=X=$iIKoMR&0Fd>d#Ogg68Hb zU2KJ~)vmVcb_<-bu)Bs*1?@#jKZ*LLQ!j|>HU-eBp`6uHIi?-;DxK3b56XUkB2DK@ zk9ply<2%*4L7_?q+t3Nn?@j%2#Zxo}=#R#woo4vQtq(`1(OxNGMqtW}L;KIype6CT zuezzCND74>U`X6$q2nLRG}>?U0Vkas{A<#M)~5-5{~Vu=GAZ zFJ{C>J0YvzarQ{BSNN9RaZJLJeU0Pic}iAC0n%m720~v+ALB1N$8i;S^#b)G5nkA{ z>0@MecEX8CKJIsQ+N3TBjk7g1oj!ie@y zrODYVW}bf`D`vvI`g8~+2-`CzUKiT_JU{%!k{`aXG%^QHun&86ay2p`@}L?SaRBBV zVLpR6pN2}G>EOu}e)6>QWI9G2>oWASwt-sbizA&;>n3}GJ{=MKwQ5{9tM4CfAeqe#M_ zzV%c$?Kn5P8KQSD5Vs3;ELr|dr{;vSHiG0~+l1>bZ3++tr$#4&`URagslmy) zxlzBHHU+^OG5aj>Y+}3MNn2g;fZ%x!EB776URmF@sk?sotmX12O1w}A6Z(O(d>{OmbfPR z1*7JyCt4oQX@5LdV$^Gn_2R8QuKxw|ROAb#K{d-QV3g{p8XzCxe1~3FbK{XQxyR*IQbqq* zP{!;s9lLIeG9-Txyhe)aZTdg18?>Zs96ew)=aeoITkjzhr*dX&!B3RDcp#rCk3*FdMDVCABVmBCHvAxek>IE zC=<)kjxq7}FgykW8!?EGRsXRcn-8Zd0Q$P+DuQZ(DkvCC2~7S*bA};Z3Fc@^m0!*cF z`wWAY&@!V1uCulmwHDait2sh$L@v>!_VVu4#;i|wUP+bSp!dk_!@F;P|H$s!-zynA z73lJpj)i|Q&cflwT`m^@6fB$s0eHgU2Ztj$p)9aL0FZ9!}-dncVYa!s&%a(4W@+|UBYQGxX~r7 zWfS+=VB*dgUBdpEF}j3{!zA0u1A3lzMi=4-e1Kz>nrFEpKx?|k9!&c`=sIDajs#2C zQ_fQ@nFCTTPOxtLrQ+I0+uQ&$ zu@v#(2(8_<2V08=&oymA%pk6VK@$3!Ot0Ro47;Oh9~mKU&`%74qxwIArt3w@Y%*lC zD-!^z8s@KfwH>mwZc`QMI7`N*3Z#9s3YGw^N~dV)$NJxQR{1_}WVu{}UnT^@LKaf4 zS$8>!)~nL4aK)?L86+_5vROmKwjkR%M-2licTs$!e^t_$>Y))R--jfzbY&GKv9CsY}Z zvwVxY^BvKim(Q(+t$xTsua6tQaMwz6Co_HlPz*gg0?b+%_1O)uV}keC&|~DXoZGl`*;6aM z!11T~8h-X-9R~g8^5f%`pKLOj#p(~P1I1**4Q&6qGmf1@$uhD$brNMLciPTj(%iKU zuja@8>>NV1fDz|!IpVa9@66629KqW(;-S$y%TqxRVXggf*9viFj9+1IOzBCKXoi+3 zk%D>Gkc+gGIgv?l*s4FSyKJ$bhVtj>fByr?p7-i{#lCe9otTh6zwJ2ueW@)5UI}Lj zwrzO_qTumkj-w+-q8($4aiw@RrwOyXvz=gh$6{tDEa2pYx^_tau(xsQaHj;?T#@x| zO&P5kVb^g?a+WU%h0a(ZBne!3OUX1=2#1SDOGzQ|I#OROmi@9738yWTSgnOHACfYo zwGiuIm1!fIBHtJisakBHc!`!LaMw^wX|p zC^1d0+Au_JF8*o`X^WR>i)nH{dx~qF`{j0ZYCZF{m-D|>u9m9K7?=xGn5YRSsMm>l zONkG5;uGDhQ8MUr_2_)v%ktN|;iS- zS!+oXj%E@X#0S!(kqHq;bqse<&~F4%@r( zR;>hWDQz}8f_OsHD7p%;iSP2a0-_)Z;%CjlAIE)UL;fa1B_x4Xl9d7V5C@u*(#8@R zqe8+Vc8-V!+hZ$9^y>u7-wMg7Hr$KVPh1CzwNIK(;P8sk9lAP!aGzy5fvX(RuG9$3 zIK&>B4zV+d;*EMIEm1t{`mlCfOPt3Qxo|nUi3pfdH!v$GLJHPvCG}e>-JRl&qvEJ_ zy}*}`eN;T&PF;NDb5|v#{r}?l{NV~Ai}HDlU1_JR&x%1QTYkmoId>7SS<8Nd?TND$ z+3CaQS>{>#F;K$uF-+o~wb04<na5 zgY2wX{yU{epvQh+zzOVc5BKam*rZY5!D#pZ?`2FFX6oD=KB6I871hGN8S(a>@F7w; z)}Jij-dvK-(IYOuB&l8O9z976>s@y6+7eA{)=S4z8Fk5oE2AMvRDx}R*l@5ok-2m6EYuhv0h|Rou2YWo%V(;R@u(+qBFeT4ryb&01R;rARHwQ zp1M(uzMkG;lve7GjT&ozyKHwj5730-RmSw%su}3|_7v4_j&aqyqhdUxPVEx(MM&oT zn2}ySwS0%i8kKJ5I*lYAvex6s>9hrKHU-QT-^*LP8 zRA|J$E~@ARd$p(MSlvkPh{*+581Kr_8y_0I@Z+!-##fzws8wffR{UVpIqY~_KlF1G z)OU;up|D`3W34NAiq*A4{EX+;zkCjL>1Y;X%$qleL+t3ysxjDs(dL2%sjm^5skk>z z6l)$>a2(EcLx($Ir1`#8=ZeiJ|P=B4jaYG;H~zu6jq)19J!z%wn`jjw^akgAR#hN5S8hdi3leQzyz!S zrc%dNjK?x{gLmCw4Pc?TQX^t(Zy4aA3NZDsf*CoO#{RHVmX+xF2q&p(^^i5-2EE6Y zrcu$jook_YKt=vfY*l2fEJkPUKp4$&&+-M~2u%cpVcu^LhPnpQ-X-3Eq%J|GTA^`) z(y!InU|+aeeHX~E?O)}wnq4Dom;-{>)ehO@EdTd_9Un)h&xQx@_&5lCR)Kge|L4O6 zkIpfP1fs<_Os0iGiiC&G>7A*q9tk!IGD7@`*NF5FYaIwE<^x_gCx=6`X%J)H@*l%ai9FHgKUAkVe?>)!AA)zr?uaD01zkF#zV?c)@P14Z*R0(ED0z%)iTt#sIvsC7$ zJ0Of}Oyun&aWPDxMZlXC9WnX^{0BID6paFkXdIbaH3oW*`>&=2ZmJQca<%ePf6Nh3 z!oYW_sQr2kwKwO+ygK);-!;-Z?sr=pk8gCt_4V{{p<8bsE+C5G!r;dM;J=A|hob=* z41P1Ctr`9p8q_~H12T9hb>_Jh(hiJm9j=sLXTRa^7mxMAN|u}9Z%lV;!wj?F@|u;Y z9p?Qp{Ot^hgHKqc8S76uK3{*E%{R2|?E2JZ&ECQn{ZmIJX7KwQNqiz@+p+O%CkY@5 zl3?S6l^&lTOW;IAn0Wx%GxPU^0Q~th=C5vqfi4jH@PtT$I0IV=y}9qbeI&8&P$h|e zKk&Okl7PdWD^5SGwSx7cI;BpFsbUO6!XQ4kch2vQ^v?O{zI1%Hr&E= zLmTImgy|B^G@_4&YL+`x>+V&^ zGhN~n5ak@RIElIXzAN3#vU6a(BPM1F;w*;re}3bb0?h2}RPn^Xg_F3i);X3ktm6h* zn^BAPIb79boLqmqkm#EJb_e?4fk_P06~FSNwm;7H{f70g2NQS3**@%_+cZ}X1!wyi z!@4aylV(`27S@^ZbTz8C0J-KqK}W^Buxp9 zbGXmJqH&=kERNKHtPjZ9W3J2$I6E(|(fkD;zM!eX6o#vRJu zlbl~6pyz3qUqK2h3FRxL^zizxsJuNk*1@)~cWiq}nr)+(Xy4NUQLt@JWyJH9|06k* zEC^Kxzj4r)oy~|^5_c?1kTXvV8~1oiD`al)6Jp!MCe6s(&h9Zi5(mD0hHYz>jwf+o z$l6n9GlpdbKjAB^HF$9AjJ!R`@;17`5h+TDL+DgWE|yaAhxd&1e)yq%X{F>|$H0$E zGjRB7I|B!zVBkp6NZKewVAtlMinLqH>PParUyMvOo!i!m=ZBN$YA< zDH$gJH>KpcO_o0OO38MmiYX<-I3-IPc%D-*8}S_Iw1Hm^*lbE48A?f}j|{)-0Hh6E zA|gN6kq|n@5s?b|Ym~AOlDCeH=r>H&1iX+Ykc5G3-hGrPNB`hv z$5s=#O9B}36=4e|4#*-zQSmbCkkpuwHYYhG#DuR+s?njs zRA0FNYT}ckLwj6aU8x3PC?Au{&o+$^u2guCY|(%$t!a-`5PO^zj66iGYY#8h$5ozT zp$1AA($=XM&i>a)%*E>nyP5a!Zn!v~qQV>GDT8<5HEJ0?(F7OchJJ&SM)6a=SPv3YcWjr-)n)4MRc_v3 zaH{_`s`NIS3jZE#N%kBDeuLa(QrOlg+hP~(q$_t#w{}{iyeh!LrM^?LKec?8IuYN& zI;{+=zksfqM9ZQy&Fjd4}GHYuM_Fu4U^?J>U(NTVzG*Gc_uNH zGs@dE!n8WeosuhD?#}O1dkq>as9s>bF&`*TbZh$H_Uoihp?Ty~2Ga2J#@yS(J2nY^ zLImS+4pj}Ax|``o+yLv3;Xs@;zhn{nl#B=aUlkjMW37L5J02p~muzw2F`i ztH=G%WM(o1unj|E#<>sgF`WC1)#LMucC9ljpk_pL^Yw0hgr_areBE;JH(wz!_gl2b z;SmBKXNB~R!ISM1vLWEVsXbV@<2tZDAzA)VVCrV;q-et6PGrwipBt-nszH^tH|AM+ z#gn&=^j`XWi)ZB?H#d$<&y6H@El*7{VhhA|a3rJs@vOYl5%YO!yE-4P zcCj`bxX~?=6zCafxshg17#4`1oO$hIUmV%B?js{lefETX-}u}`3D?U{I+{7Ujb_rB zR*YtVC}_sg`jTq}bFyudz2*T*;zSJiDV!4?i%N^YYZ7X}e=#qUZnIT%Zqkh2&F+G| zG2Y3XyPW0oOLEa;ErNP6`@XSWcq-&+VId@;o!W@7{f&s-D%#^Z7{sMdV9b{mpFo6) zMZXmRr%=oiZBTz+)N-9Vq4LUINvmyRPjt}L`nIcuLX=A_Q5oDC8@>;_^#0(VaD-W) z&<67R_+GBXm8qayKMDHfFi6WVHrl&Hg?XtLF4dNhye*2N7L-g_h20=JsfszVx!+fR zjW(aq%tk&FmbyYcaHE>(ZWnr;iEBL>oXlgK`4$tpr&% zi`Yc0Yp`~o_`rzIdeHlKs}j@jVL@j3t5VZ&p_?!5Vhs@L1H>Bku1qJ>ACv0JRtcPJxlAoe~t#L0q z3=Q6eDtwio+N|Xa7|11R>P9y(KD~G`f8e7d>fVdgxh)Ev;oibq zMtWPHdN6$g>ho?={G(E5sIliHj=6ruxk0k;DKvkI%#D-7-*M9R$MW*)& z;z$8%?oE_H4pgNo^e`86JIv%%g1G-vK$IBjZ9Us%buXsHJ^Al|{OxIQd zh=O60Z*RSmS%|o_J)1(+=VTa}shgn#}mSL3CD$n(G4ogYj1@MXT^?mVMAwPm0wqGb8^T)~iiPn`^s z<1OkG?^1-jEGG9!lh{7GI?h{cH=cJIR@hu!<< z`_fv$s~ze6OdIK?V_0k#3q(PB#H5fUm@T8Fe`J3_q$#9FMo@l31Au>cNa<9J~ z+jq)NifBC|L36LJ;keE8H{8VeKz!nmkpX&73E4JrfG8#o0g@XXBP-`S(+5!(hfMD(7;>fsY( z%uJ72_1Na`=&PIMpKnU->}tO=TZ%*#Z_|%Hun%BZj zuEUbN7k9XMpC0MW`(z96{ZEdBZcC96!H&%B_&&`@2nc-+`!pi+*{Pv(HbXn)!Rc|3 z5TPmP4e>96h#)XdZ^F>Pi*TgF_}-Czp-Dpi6y2|A#Qi#F7Mbrhm+Yx`hij*b!QJ6@ z>TCu7ag)npKU30VmLHNV7S&)lHN2|N!q#QU(XkD2{`sgQ{^P}?MZd72P(^`z2yCyN z_<<;h9~~WDWvKheOO2NqPJCW(hhK`BTu_*s1`n+sLJH;aTElG9D)Xj@|73lHrw=3k zzd1CB|6=vKZ}`+7A^k`n@rR<(R39Oo<^RXgDjItWDOc{<;7*5pfsl-Rk>$VX2>bo+ z<6@h=AG_xtN^$)mk$soo07OCfBwlCvN9s0-8%v_OSK8To*pd(raI}K(vB9Iq;~)T} zb7oqHt+Ai*f7@D6ml4P?jJD5{)V=OY&zO$Fz>m~4-^}}6Gi!S;R{ZnSvs=__SBtUW zrx>pI>U?&f7=(P0(D$}?#6OOdmW!iSCoC8LT1xdS|BkvR!T}i_5+${BKp+Z2!1aRE zJS0aT>k?*V%saSdu+ukxJggLW=VI4DNSG%Au-q;1LQeQ-<$%yLS0%@-l>>8@SIfUz z!@gvr`Ua&tlA!f-`Cv>Ii7baIY7ee)T|DcF+BSbWBzAhG`nWW4ouqHWITxk(TFJlI zUe@TcGe^D2tK4dsn3*o7P7pSvw0q=BBfBqqXr%XF*Y4}xaZ-Y0-sDK;3n|_K!KAag zn8XM~K{DjhV0X@}_1+1{hUoK=4K3pYrAYq6@=1s@UZ^Hz+CgFD)ZXX5;{yHcJK3{e zQIxrlm|HHIY7Kh>J6x6{z=q?GFmB_yP?Akm3$nc_e1y!rMZ1$-vRcpXovmK^1r>R| zEFy8s5iU#jw4=B)LyAK*@_r1MugPz+T0i}A6Lom`A9s)J{;3bQ9TMZl;DI(m>e4t~ zSP>H1MbtK^aX90NWrppBm%faAEaIJe#42!32q%Y72S+lJpR^KE(|N%k5&N({!n1O7 zczH|{%HJ`E7bvPW%=-Hfb$D4B5(n#Voj5L%U9ycdo_y<`k=|ci+Cmze9ck=sBaL)O zi18R83Lb;i&;)Fb4zLV1_^#M70<%RnW>6` z8V~i625jR6LK;_vq`}UNRm!*^Dx7jvLb?ebnG(!gc&inu*OQgGRD(VBV0;_*PDd?Y zX`_}dAqI$YMM5}1qQ$!u%CH1s)89G)^YrvJdhrpC?1w;ZmbRW7fHFwP3dkpf$! zY1k$)yzL6Lm!TlgE5`WcM3C-ooZS=?M3`k|EUDP5lFVs5a@_a-RaB%BFln zfHH~NpOHdrn4>G! z6u9WOYy*$q9pX5GIm;x{7CJ0cx*c2j!_*O6e%SSH_~A~~zgBbUH8RFd8!}Pb2#@9P z+U=V8M9SSH>34f?xn|BTwKi1n8g1-#v{5i2$h-2@^v+hn!=}a`)3hAWtp|{;=qdl7 z@z*8#lMd<~_PiSKPW_eTx9R80o^ge%wdD=pJn~tpAMqSNk9_t*_Y;sKpVirnMdc{a zkoG>Y^&M_``S+2=sS z!Jl{FkH%XLIJTMgmIHC}<4Z*?6=mM3zp{LfeioFe-`syEKfX-=uL^!Ze`Wax^|L5= znSSLu`SB(RU!=Q7J{yuSl|2d9fIJCPrAflCJdrp4tfq>(lUWvO{#kX?1Z*P(z{!ut zppSFVe`L`8vx7*rG>sWJ5OMI=IqmNYnQqP^un#K9Li@axjxh^Fn}K*Yh{?7)AJ8a@Q1 zw5`L26NotYVh8@Jbjz`Iw6`3HIQUWr{{A$0_c_Wy#KD(4@GH{b*gxA_4n!P$g#&+6 zs?X8+cTo!vaqv|R{7b2pn^md3s`|yh&cEa4t!a<&xbl07Z7pqjSl=PDQdwzq>Fn45eMJw!0&4V z@9GvH;^5ah@Q=2Er&CuLZvi3>zRiK()&|}+LxG5c?{MHJr3RE#AL;lEYdH{c@Ldjk zZ5w!3p92vGzr}%nJKZgog{Wi4IGF#_&pB%|FwaqNzuT8h=YIJfxld; zkH}ut1~fhW4IGF#_ zU!4YTTjs3gK*Yhn;=q@+fp^VNAmZSE=D@#~o z{*(hhCk;-hetWk75eI+9fuGq1-qms-;^6=6z`u|N$BD1K?PwaaEG5OMG$9QZwP5t?PLZ4%j@2#7fNQ4aj8X>g3MsXjMwAmZTv z(t+O}A5dCOy7&~hbqf%2@K-qSyHf*dX%^eTfrx|u8wdV$92|2GjyfrD%M$=Z9Q-v7 z{Nr(O_Cw}x(k8b65eGlPf&b?=@UEQ&5OMHX4*akFyRF z;^3z`@QfsKvSkh&cFc2YzQ8c$yRq9Edphdrs~q^&Ht?=K z2O)`9<08+g|;2SglvoddtW4LrTcwLS+T4t|*f|6&@vTY&~d9Q+Cg{!eY-UDN_Z z9DJh#e>??_`M#@LfQW-{cHl3KPa;UM+aLl&9Q;}bz9GdG0qzsRA-7Oty^||MsTc>K*u3h_8yLP?tc~>;te~Jv%Ab2e4Aza<{LeaHKKSWYDNRQ@iEjGXbd*`8|zWYIPTUoHsWp!PuJkL z4ftgX?#A$RgLyWIGJ}ny<~oYc2|S&|^~v7@`0NVmeL6l)HjWqorVZ$9yyvl%D=z>7 z{9`%(Ws#6U1(9$UiiIFy2Vf*(&NoH?oBM61u@9Y}0F);hyKx;g_tQ;e5ZVM8n^4JS z6c|9qh=euh{3Ot@*&t&hKKl(awxEh}^K1y^HsgLX5HyK5h?;Rh#uKF8XX1m%7&l{f zrm-JyoJ854$F9EmQMCJ<=I_(^Wfs41bngekW(8#^b3;;Q0^>N-ID?LiqNBUrs_WU#vh7~N>@#_?nlcU$m(6aEXCZUnYBgD{Wc*D<^`fKNk+3GRO) z!5gu*2cRf8+W-W?I1g++`N`jNMu8=TT&7Sf@jKBh^Q@#c&cX-KnFDC^)u@*xK-!j= zf5;$Fh?$TGLQ5dgCEsFVRwVuinn2|;o5wJdCk+~jmNg()B8a3+GTvgymMA1KuR)0o z_`e0;27vrYGuwyo)FAOGQm=M<3lz1| zPlLQ)gc?|U+25-!KPczC}@XK2B*N;!)ryuy^d&8KT zCjiy`sBN-w3y5kG_p_$%6Q;yLd?&7^(8eA3^?ZX9@IKs~GUfUHiN-7NKN+-fG|&(9 z58=8I9VL~Mv69ya3nsmj!wg`s)}W$6+>=9b#tfMR z8XH5?WP5{#g2r%n6we6igpncypOSj5 z-6!yjsir9ybykt&LWtaq@6cyy6 zoT>zbpb}u}M{DqW63;m&CIRAR{BfKo@#`itR|bpdLks$mTe=sfEalrVhg{i4C*?&-sMPB-x>KL=8i=W=Ao@({uUZdM-ET za%c1@{sLlnsR(&*mN#WHO_y%or z(>C?(fME)CB^{+CrNvCWi8E3pt=zHu{pJ}>zJ8Qq=jq>IHz|>6QBcL)!j4)C_DO(D zG^mvqo@(wfFWF+JTGR-Jg-q-uTBmA0-gE^_N&_SXYP}pYa>X$ep`E(PfGbRg?iO~F zzzZWH?_~Fg0(O;{PFw*`mU>@^4~`&hjTyW}3yoY>#_%+N5rAkbI4miOuf>Z|+>2|* zzKUWBs3^Y9{6yrayCK^K#W1}ePQ57o^h&I*+g7o{wse?84yu8z9l&xYEJFKp^IFJm)Z4n8q(tAP8Rtx^amRMV3)#pf{4}g?t6N|iF4H$lKR5Pv*F^Z!20gyu!pT||mL-_*9LktcY0akXW`NGmz zaD+Jh{K-pX|=O4=BgxDxNT_8SI|IGHT6Qer0B~Le-4-HsV~wPq3f8g5qfd>$F6ZDg==D5 zi{UpaC0rK<$n@x-UXB<|67ddliE|PTtOwxI65UcGg)}-vr>jJqkkWe|TfLfIT2q~J z2m5n2yhVN2X8%1EDr+JZ$P%&z2xhOD_W`kXnZ=aTq0!WmM(I z0CLD^rM+!={+9G2%W_#g<}yn!aL{yPneZbWU@I}29?B^G0E$QP|7~6(^{D@z(Meov zln59zP+4xv5Hq1VnL6Sv%ViwGBKqd}O7A19AI3+chj8rxadLq{A}-Lr|1gTL1T9)- zL&8K2!ffurmyi*^9i5qM+>W*$fP9_8l+j2srMMSilF+Nil^5~j0va-%e!R2=^OFH_ z3=*MBKs`!y7|=UQ+m9@XcU&qyYS9uIoTCD@H7s(Q`Y8FEf~I_N&*9sT6wx?H~^QzAz-YuQ-B1K6l{Ek4L{ zh!#c9W@fY)qF{ z!SI~(jdK8O8jYoO=})4j5Hdn7!v6^nlT`4 zM@XXXT8P8>*Ma7vxF1)sKGguRFDpZ&J$eibH^D;`XJ7RZxZg~(lYpM9W`!@R#cSJS z*olr4nL>+~b=sdbeI!rki#n(pvG|%~!i!>)3gV<1yYhnQe&l4rj4?9%@g;;E6ft0W z5g9%@($r^^5#IrHCqqvrDq%zt0=5PNKaT%mK5~$$`)vWkrR1S&Nx}x`exl|_Lo$h} zX##!~02fAl8UQqLhZaLAH_hP=p)Hv)qyVCKmx9m1A+1tv6*ZNyPF$m->PnEQ#4=V@ zeCw1ow3KNti=TY}-!vphM~lmbBN)%upst?BuDtRG09|#zlZlov1wcrW$yi76L+G{J z*H?9Y_(+x0Cbay7SsI>JEO6#>$oR+bX4)K75~v6a;VFlYSWtUKR;)R2^ea-W=Njkc zWC}7Im%~SSN&3lZzY>E=-{H|@e!1PIveF6F?gK1rVG3<0G|EnK*f@xc+$I$=YE>6Y zNV($TV7JM@-G?-XAI`8flC(NkI#E)|9{nj+r09et5n6B^`@ zaJ7YjlvG=3^pm|v>>*cSWF41LOf>&Fyi{I=o6_aRScrjSiYdw@z{3T6JCM-H^f?F! zPwB)V_u~RHLo?_JShWhAowqu}_@J>5@Xco|+LWPC?2X>9FPFYIT_)uCwgXkV7(jYoc<~WFF zbbM|?*~EY#6)FQadxzLB{Cm9jktqH-{OVtO_)Zob3;}V`5yc}YrqJ^~iVx#z93`wk z8@0OKU3eNY{_Q2?iy*={oz-KQ5HfW(E(ww_DK$9iGVa26#E(S_Owc-pPx`NhfL;oH zday`Wh9=nIED|U_(n$C<2MN57;$K%JB#i5a@D3+4C$WfIeR9rwLX9F_hP!LBi&eQKn;b^2~hEFNq?VuTgw2MnVac_fh-`Tn&NJ z1q&pptDg=Mh7xc$Q`Y&Wijyh?6yYvcJB+GAsJfUbh)O{Hk3+%*0=ALRG{rl;0_1eU z)988SBqvRaD})YAMpKX(NIVxq!o`so6xwOO;z>%7^6L6eNy@-oE(Ieilke^ZJc z4x0(a4a&l=mlX!Ga+7Q)EjRxRoLG4?@1ZT=YIrk=2dqksQaU(frA3*8u&jiIt7pVz z&vyPu(L@rf|K&J1S{{)};iP$WoTw%2^QaUU>H`&CZih_@kq-LiiG|e<6Yj)np!G71 zM})73EVv(E*nY~Yh+-}Wu6~%6^w3-xHck%;b9xTQiB~_&w+(lvO?1rI@vIwor2L|) z+mFfhn#K!pKji5(5}u5LP1!l&j1TXlcv8m)pBye+n+vtShc9V%PZxuFG<5NGX>JJw z&GpR_0oQ-=${(Q;2e$O`xvKE>-M`$_4pp%;VZ7PT^3 zAM{2TEmZ)w+5w#~MjDV@UKZGm9jHxL($d@5HT+h+c`q_s^SQ>;T+XLGNZ^@if$Q1? zmkvU4o>7UE4pK#=ok{Syg@aw7!c8|?Vo9b|ZgQ-Z7s!lsbU0G7*r{y&1LjGx@VW8= zxgYwi>hzdVb-89}eKE>Jxl)z(smof`ji`d!YxZ|eI|&g#fE94oC~N7injX52S%hy< z-?sy@S-`+GbUWD-sw9@_MIo=HPmkDUBmr?u#{)@&);hPfas)UsG)9SI{t@f!hN$X8 zB|Dq7TU3Be03Fus+YYqL%5$uS`;v2I{@LLua(YS-^3CXD8}4SZx=>Nda+we6|ZV-lHi0di8_>cqjfmAfFw9VHkZD6auxoB zIELOw`sPWW>i+o7ZFss34=9#z%?b-~b>kOdN^>$k%8pWF)pWHg3^M?Kx^Yi)oYK!< zD$o1o*@XVfHqRNP+z_{Dp7f{9r)W{aIWX%~dlgkq@-|p*W=LWM@qwa>%#*+s*=Dlr zw}ScyepbFZ)8vKD0(=zzDoRCZy#fJ%N!2>{Ifm|dx+HlQDhMITBK~Q6m8BX0FM^lO zIPy?>GU>9FwGMG~h)kkGkJ60XL6&qGrA5*v2c;lR9J=gL6dyO!^FiEa$BOA*(qlyg z=~xvbD_0ZyGrZaz)Tq`r4nNdlCRV|O@0%x%7^Y~bgj7b$g@1kXnMoSOQwG!L%=PDR z#h6}+lKU_wWc@mEUiGO)<8#}uebas3+$CR356BTzqXWYGD1H^LfUG%pEidKV-3{WU zop>CqNV%;Nw#;tsJea_*i6^#io)tjP2Se%K7RAp%^{XEyZ|dRf-vhX1`;JkkI8`K% z4P%SBI6|+Q&{zmMIDNN6^v>cx{jM`jr1S$R{h#`)|J;9T6#tg#C?SdB58;~N@gVSc z4E?iYjNgEWXPc{7Zycd0{#pE>SmS*ZzYSM|H5Nd9%GtPV#z+l!lD`r~xYdNnDdsB1GqL)Lbv3{Z)pgyK6aV+fU4Xf&qKKN&8t z?1ISO1BeiGr%jonps4Jk2y;DUjdE)s(x0?64f4w%M-QF)w7nRhAfzr9ni!dkTam>p z+6Hv$GcgXAK^O+YrFE8Ik|QVEhth0{EJ2XUBxSqH{irBKlzsD@0=5TinHZ-G_e)2ma#fys2R&9|4@z9KsyzIK8~3QuBwGVs ziE7JF9r96DRHv{aqHVA!nZaV~98Bis>ObQk3F>r|-Gnc@@VL;)<&0?IG@u#6|Jf-* z-Cd`Mct1AbiOxgbNAYG{UEymfIxoKz6Hb;V>LPh?dGh}~2?pIPUQ30%3v>x^$GxDF zkde=`uJU?}Drr;EE|UE#jAj&>!T<-#6slQ_KBG+~x#H*wpch8m0o)@)|21Pt%v>P7 zXXn6=;hD|>+|ETYQ^x5W;C(@#qxdoNMVO6<-k{<>)p8cGy@!`vn+$-Vdz zGKbUJe65l!Wg=X13JHn1_YvJa3O4`emDplUbxWD*66sB+Fy%JaK)AHX;4aE$3325n zU0F{Ok14H0Mu~FGjvI0*zhzflj+K~VY@i_HaHnUeZ$2yHe>}rJ0o>nIqB%w;(4%tz z-Y*8))ik)2X;9_k5aeS*kKBvEwKpy)$y(+{3@M#*)Fv5xOKp;lQK3=E%|q`zm&S)s zmNCr?>-T&HDSB)=c-2~B3>d9{yI;euc978NPc<8vuKQA!dbtWJ^{u=>N{-?i9O2LV zD1HI1?P0>pm>sMS=-`KB3SMj47w^R>P_?ctW&vSt1Xl||C07MbqdcWw6b;wN(e&=i z)8+Syrb2ee5*)V{F!F+C3it1csY3rR36AbCE)LU`CPc`WTsBbP1>kdV3VbqrL*?B=?5sVj3N_z2A z@tq4Ee9n1d=~SMx@BBr;As!}@H`t%vgvM=CYoUCgIg-CX!O#@9TJ1Wf6<0Bu6NZSg z6UJ?B3`m#mMe&4;{Vu0B+^IsJU^u)Uv~1f#>$bg}10D=1$0|Fmdr^00TKD#Z*#YII zb$QD|mR#uXoie1NhTUR2*68R{=`v#&@L^@Zz+CbZh-^E)blz)JL{A9gYM4oYNu}vK zmpB|vCN23s$V$j&$X@7qr^MnDmKwl4OBr7UqXVP(9zdP=Dqd!9@3pthc^}0`%u_@$ zMe(S)ZEo0o0AG=!3RhH}rLO0rAx&V=i+gnDW%vleN-=c_zf-D^iJ|%KbzJ^E;Avt` zq`Yh{|kHd<9#8`k_);+Sh87z!6<&0!BC=2aS2L$tHY>zlq(vXrud5Xa91+&`bw6g z_d3<5g&-v`{~<(gY>DYF?ssLDV=GikNNeeupjiy%`YFdRME zSwTj?RdKQzYJklt=t3a@r>fqiJBu3Wl(F$my@hVif(YwB$VCq)l0n z$Bm~kU*}QoPCQZ0$onX!d1>@`a658awYeEOOC#S2oF#Lw#1k22O$%3B8|166v&RxYW$oYAEdBt z&T-y*+K)1TJI#-G;c7+*BZaapf)2i3Y4Tql3Q=d1dnq2Rlu?y(i6*5zkrI9FiJ^{Z z`h4~kmOgp!X-~OLwNt3>xy%)+ON{_sR=(IyTEoss$i=GG3p_G^1)eA+^FE4SiK{0I z%`Pv#od|Tn0{R@o^kshH$>|piu7I(JJghA z3t%o*`sRsxKtNXulcRBwiH^TsIMe9!xDR*hjRNo~qcYj;Lv{=yc`u#8adDaJU0Uo}eoF zWaKDnE=RdLnw~Ix)nAS0Z{E>eLkz5Bejdp}DhZc0S#uOW34M)XE(c?ilj+`-XpAdU zufek(WR>%ibh%GVH<4qSC~Mrdtw%EXMDgeGAeDB4=op6TOWy^15yeb95ydOam1!oT z_zAcs`6LJhHw^Q<1Yn@oynT10H^Bo(lL;whM=?{c5ien>6#nJb=L|O*-yiOgR0>DU zK+>;?cBmX$dxx16xCTQXEmuFYDFbwypCC?lGxW{7f!lraq(co4?3=eSR4Yi(#2SI- zAGfyg8FmeWFw<_vNj#y>dK8~1V4bjlz$^Ufr0{+C#OVFLDIhhUH22Rpm2klmBZ(_( zemg!fc&qT!OYZ%VcYWaZKmQg5=iTNd-$xzA@8L_p8m-tdcu2=Ex>J~8X92!6_$%gJ zw?V?r2H$5JT)pz?Uwg_6ztC*(R|^~bwWPr}qQM=Fn;Q3GLQjK9+zUcDX&UEx1>Ncr zzE1{9uRar7odZ*$GxuKSH%3sLNBJ4NdoO&aJa?Id#W~BIPspju>K+v92tf z0VcU6kSh$_Up?!Yu{WZ$ia*@Wp#tW4??h{or4U^#RKLdZbZn`j@(#m#QcKYEmH!Y> z*5MkjNw>&R0OlzOMhv-fX@H9joEFZ)0LNBWn&|W7*mA{5#%ebBaC?Nd?t|rfQ6o1p)O3)%T zMYZB&O+idm5qTH%rM`JKNxX<{k~Ph^^<54qX$Dp9$D>a43$ChiIo@jj+P|-$N5JiF zG{9W%42Nfc7SAJRRvT`kmaup+UFrX)-(TWGnPf=9xOk8#6EY2=4#zJsie3s1#0X&5 zT=T1Mo*AP1=4k^y{`ljLswHEy9?#Qb^RuW?Vdp*aFyQJm`4C&sa~sgJL--zox}r3) zk{mm`hx+N5Tr z_SKqn_-pu*<{{an!|Cq>kl}0bM}y%wx=(84dU~rm_eyo;mOP`aPv41`s4T*l~a-)&*Cdp&eabS zd&X&PPn}r(Ffo=Yz4~F|$CTUG#0)Cfwwg+-WWq{&jJK^M2n4kE5nMn>-!NpFy@DdO zU`S@&C+=u8hKA*xb@<@xqIdSqXVtNyCgr&~z9Q5Q-DrFojXu0RpyBM?MQm(l?yd&V zI(K>Rg&}bN5lj#K&?4rb+K%4KBjzBjRFPqDUltQhQw%JlFib8TI++VdOMW21kVj!J zHIonQ4&pGlf3? z;3OqMS+D`36ROPsIav9hz(|nrt};Lp77$9d1m!ymMJv?u+F)f)&_c3(B|!OP2q-49 zpykvRzgH*@*#B!5ib$!uw!wj*z)W50lV1)2r9BR)A%{1-9u2=Qq~R(gNu4|xl({Pb z%G(zT6k4Ofpforf4=)rbG?&VO@{JHs+EWJSMR>#HneXUM!?cOR8~y;MWtSRe99?+B zJs5QgF21u4*A9$2`Y6I1ogVUBTr!`4;InPc37H1+r5ONcsNv@wKx z4H;RZSzS@(ZdA#`G3D@E9>B^NKjsnA)EUeR$gC|~N|9`U+%&-?8$6ViyWQpN1*Xo( z9JY4_DqneFgSoOJU0eHMc5a3S`D;Ia0rjNWEv6@A(sy2Ese&DpB=J1Flsi6Tdph%l zuu}|w@$iHx!yZMRasBXzKiD!Nm7&mBML5`7IU?5$f z7v<<2qz94FK=;8pyAAO;+%?t=n_-kODx=^RN1|pFXW%L)Abxyi@}q8#wlCXU_+n;>Af-y)>IqOag9CZIA6FmE zQO|wzx!@mz8E?aV;^CyKcnDaOlWwATyD8SYEsBS6 z7sdaCtMGLCFz2>L@%PO0?A2h_`u$d*+LaeJnyaMVr(Pb#v8fJ&o7_k7Jf0XH!&jW) zW3VifQ-Dye`x2h3f0)Nx(DN=_hjCx@72gjZF*ydK`^+1C^K9HjksAi=-#2)Mg9Zlo zZbP3*F>YY694=QB2OPy$paUA~8fpc6b~|NY&zw0VjiIJeK@@-2AemQ-Q>p|jFECqj zdL86%P)esB??Yx~I=e=fw%qxQ%Jsx7`j$Il&ThF&F)5-}|7pDXZDwrrn`kSbLozds zS>bWuOdPoSVG@g3i`aoovA5&zF!oN6+mBsUfTNLC|!Ju}NxisrgJ@8P0U>1jr0aM7pPv!H6e zBX63mgAWC1_;KxBh>HBX=0o@LC$j_n11Bz{O1T z2wYOGE(C#dg}F5He|#~JFNo^#h4{-1@_8+d{6hx$QGBPV;%;;8K7mtgl*aM3i-F^J zW5VZh@M8uwyq3oC8%>=`;Ntno5V#2V!3E*qy;YF^{KY^%5%uOg4t~}kpVvyrKMM~2 zlctIpbL~EXud0IMzQw>X*8?aaz~1#6e6i6pkabQJRTdhnE&b zO1?4=$8R?{<~10{$~Gu@Zv%GUW~x{y9A94r$Mj}hbR1I{-j;{s|6~xvYiS(sO>q3K z1;z0VRdD=*#lZ0uz{jieaQqtvLA(azIEr_h;=(Jac2KWgD2@7sRdD>G#lSH!k;^MS zYH-YJFpia1u+F`}?ng`&3x(qwtKfLeV&Qmi9v%NTgCJf@Q9Mf5yb{4i= z>tAbd9O&hn`(do@F+PUfq1&m0wLQKbzQo3r%-_LZUc)7wJw#AxDNkSYqDY`mv<`#uomz+Q>UU~wN7B2b(`XB~3*O~MKGq}7453Y*7x0yQi+h@%;&(`Mp z)+Y3~`*t9GyQPs&ckM+-{uQ9O*X41qAHo`Z6!Tgd`KF}p zB)-a^hSy*myBy_^lK9-yfa^oR^%FvIof0Bdq79iyJY1|W(5ol_wxn3uT4mS~_}V#V z%dl@AfXY*d!m&VA{o(D25^<9mDp>;GXzqC}O$V&=BiofK`0YE}qgX2M{+zq53i7vg z1NmhG4Y+)BMc$m-X>iSJC0t*jbFM)Z`HJicDATwxDr+HRIt{AQG95s6PWfe#Pj%;) z^N@eoAfMM@JjH!LtvV zDqdo)-N!Kl@=7z0J>9`E=_Z_>=`_41kDgy^P{V6!98)e{0}8&+R1r+a9cxK1Hdqgx z$<&h8;*T9{={BpPu-#Dx6tg|#mkU5C$yym*TAK3q;iZdiigI?ZQp$5@_d5;Q@ES~c zQGCJ_7h8xm-%y5wrYNudW)mh?3ZG%r#J^uKxFs7tP^w`PIL*Sg1m3>K2TL{l9W?y8 zf*zM7=a-mJw8`X4pu=d}|0zomAx@zQ=PPh4&Z6_+L1%qvSzx*{d1 zWWz^FH%ymN9-ApS+h1iWDLLCm@zO<~?PTg#?8sSBeiAm0;oJvYgUKz5|JYEcCRdNg<4gc ztb*gCi-BW`wp<*qHaO-r7{_YR^q4xMm>6F;93QKKF#36%YUd!Uxs3f0h z%0!OhLwPch`-6j4QA&!YDTDk#?qzb5e6@gkm2CLcWg4D)0r0{d8bokf=g;nsWvoFa z*EY5MPSO!D&w?BoCEJZpvUP>F*Iw=eeBQnrWfeZ((k3 z4kFF;8VfBNc!qM|gOvm>sBb)|$b>I3abI)sOMo4Sy z{Y^%Az4->Wv8m8;>qIfzG_s6GCL+#lGhiMy*W>1DCg>ScNuVS$6ZC^n{N8FRAVmD< z15wgo`bkqsIg&tfmhOn=O~ra=&|!MIgVpIF`cjk4vVK6_)HtUx8ZBv(0C1^Evw7V>j9PyD_? zKChLK|A`b6`2>-l4L@e`u?=bIB5Bh*X6omwp#L?CfPUj0`a&M<|EWPgufgb#;{R@n z8!wot`CH)Ke{3ppBzO^yOG@vbGB^&D-VM(2FXR&e6YhzMff~`nuzL0EyMJChy3SaulD( zmB7VUb3rQIFdaj|9A%E=@Ye1$d`0Vq{}4aV$=@9Ahq~7=d{5y$ zMtm7FI(KD^Xf`&^nGS~%ZxFjPZ`|g;LB`!y`MtO+f26(>0vB1cvkEO+^^%Y+9pc1I_31bcjJHl3vQ5t`LH_7h6iSIba|Em|LDH1B)hm zN$rY?L^$r5Rq`I+zS#`>MiuOQbd-duT();Ym9yMWs5C`h9#m003XYTaOKE2-lQfo| zPRy9z{prN~%VnH9JX)ja+_~AAPMgg=uffwPiX&58mdB;$D8AlQlu{PDf^^Q7vPd^c zHakXo6w@~uK5dAJX2gr@SKVb$!)q{(m1POh>o>+d(+Jy;#kGLi6?{Lf3chKJcLCq@ z%!lKf?ED@T5$+DxY8u(ros(*Ek9pJDp68LsTL=SNIuN@_#T!b=@f zc19aw?*Aby@rGk|EwtdbDXE_`-4lcUl)2}%EUC}kXzEN@%iKEiEs9xrl8%_md)u&} zz(m9ckd(M@o*500{WpNv+K0|Q8&!oP;6s>+K!ABCOXXS8YO`ee98*b2GWb!v)D1F7 zJ1rR}%6-q_ct~tgG5G(3LkMp+_q>)R1miXNqbARj7NS@x50K|6Cewc0B`I!O9e55p zFw8%u$3yDK+;K12Fca&xK{Og|;7=Qd{bAnekl>b2CR%DRpHnvDE}gu`P#3Sk)D^|o znc`MU{2U}{u$EYIa3vFMORB<9@*gcLhUFI7_9eN=wWzYJdfMp4OC56)31nzP(P)VO z*l8*=%?zQgy7b#-aCt2;xJR79WzBE)eTDgxr{ussAJB*Qk^a!4SzEH8o>^Zf0fHFJp9 z;5np5-Qz%Hb73VRq@3l=euP+Q=vW&~tRxFtT?PF&ECTu)j3>^`EEXH|^I8f0pMhlB z3WKfy?do3YF4|vE1??|f1hgBfe>jio|IVPD*I={@qSfkHfdTz0kpDGPQGl{plC+un zwX}4;aS?EAB7)%T^*PJ;4QhBTf#dI*;TUdy7t@eClmX(}|BT=>&Kzf|6R^wZm08vR@X?mqfA!T4bWK{)!!D4II! zVF&#O%{{MW(Qnv|-D<}csJlw#-A6z3*Oo>oib#o(IPvbauk@hDzvs#qvJ5z8h3t)!j^W3(G=q#TaPs+*f*TpYjC zAc)ss9OK|qQ{1xZh1A6XczGP}><*4gIf;#zGWWQ<_Zb}X8jNFQ)qe|Wv5Gejgn2U< z_d+S&yQ<*&_Qk+8c}6a-KWuQ#YcQ^(__xeUx0vff;dXZw-0tZPZrP_`emwVF;I_G! zOVA;*@Bc9f;RXS1hFA_~I%!W=7X8sCAU%<#7Cv$~;%U_zbFvVqQz&_>HEx z#qmSn5eubP?5%?1F6E&uh2sV`He0`=i{rmEIOa7N$I6evcZ}BdCR4>i;aKv}meeZ_ zbcc@1#bQbbK%%?3!3AG22;#Lgj+wcdQto3|%KZ8g}lwsqx zDE9{3CyYNUHE)+4ZtF57{|%VG4~1pmn^N-(AYF#o?*#`Mpo ztHS)hWA1q^JD_u{^P^4gA-*B8m*?nIpfelK!p6Hz=-;6#V>8l?u_+r%&iT~b{^G`x zuf(Q_DCV^+`f;KS>r@UVEmWLWZSc$#!KLk?JG+DDa=JR>3!8Wr0#B|6&(A1>XXH6E z#YO%~%?pFyyQ<)qNpd^pF=d0!lK4%r>0PyWg0d|IG+VF#Ert~+!cj(%m7i2!g|K>QWq2f=J^Qc zlyq6XR;7}glH@)^9=w*NuqIN)ZD&!N1XxKblCI!+q5_^9lik2`jzYjytf6q!n~mMDZ^eT=N=? z>nMJMdFk!ux=?id>MFRsuRFLcw}+ixfo1|R*YEHv20^@*z%A|JD1J$G|6LpYaH0xc zyORA@jGu6tr2+L3rCHY~`%Qx)UQ6J0vneiq2G;!X&Wy3|t>iG}Uwf(~xow>82JPmE zzAXseC=mmRlhAo+c4D7>!k}6h#>dP(ufb?nw#+)4OR=Vf_XGV>c_lk7AMr9EJ!h(r zIJ3}ptl<@)zeEt8aTGK&G-s_u0s6tKhgRiE_)b zkq-dJYx8jYJcAluOXHZ5k~sd+1;w#s#4YFUYy8Y&&@mIXHr;(L9lyXJh}Y6MW}P=R z#Uj7GH=m8H$9ma9gb&PBA@SFB2mKoi#zOtvB=MV+#QCab&)jV8c`c28lK4#^@j+9C zRN8$u^7<+`e#2tmn5XbHQ!2VR-f0lTYcP(Zc*qpD+6d3dSSTF7u?mjg)Eyj?7la!% z#Bnq4naf5F865Lk0>|IUXnb!2j)S%8)Vfraw0^)}iJpITd!zBJVIT|dtizSd7!cw3 z$V;!1&2<;W_DPSdfRvOPY`J6Y$Fr{nq2di;`68SGcqsKX%E_k8s0$rTntNV@DL^$) zI*_kv3KD4{ily>7I4heQ^z+f5qJ;Jd&z@k$FqCr-0k&0U+@tvC(0<=M)3Y#7;tl5W z`Y-m)4n@hy7B@pa-9R2%;SynWO4|| z9tAoM07)l+oSJNi!x0euQX?Csk~bNKxG{i)gubHqW|U11ecWnp`?RhU#bs%XdyJ)u zl@~~FI2zJ$VX`H;52IwE)4qAxv&?*xtNwcB1=9D*3(T6m`r(Apk@7<**qz=*lYQ8* zq){*`qFV(Yd%@c>$pz1dEw>d0^)lnk4hykI$3%G~moEp0rmMtErJxo6eUYT1ic7k;E5p61*b{pb5Q6hscyh1gX55;E`V zxg7-Ytuea5xVam}bpj=hn)3V{!W#n=iVn5kZ>9?m+Pxp5YZgSia^;1-dCoyeYPhjhG zg*cbaRQv)6!JCR1qQMDVN-ll#q{QAwvh>OP3u*d%$e~Z(M{!6~sx^GwG}w3Dm!kL^ z=JxvQzsL!A559zOh}s+>mh#MzrA2@*H)fW|rE%yQs)=xdWKF<@Hjls_`{qf=y^que za2E~WrA2XtW(7boOrv$+M_z$H_z(zq=!EM*m|^wSmX>-RK;0B7XQ$6la?c1lSdDlibV5p zS@eqA@I!j4_RaH|MovoGQpvM$vXQ6L=wtQ{c#>3gGZXMTJyy zZ5TL=gcER9`{2l<3gGZX1xG5mp*=WaN+~$~J~;BI0yun8!I4VVhk?UPp@N+)J~;BI z0yun8!I4UC3Ik`L3C?yO9C=g$9KNXFNF~Ez;H+#z@wJgNW=UsQ0Uk~>4dp|@Ybd4&&-JgNW=UsQ0Ul2?X-WBJZe9~^m90UW-l;7BFM z+JnRVbrw6PeQ@Ma1#tMHf+Llj2?K{)k%V?0@WGKs6~N((3XW8AJ`5Z?vtH+eBabS8 z!xt4CspR!OI25;ljc0S~TBabS8!xt4CspPlAz_Ih{qdqwDr~){AQNfW)ey<%kTy$CQ4Av)oaO6=1 zaQLEvBb9tA3>=G{&-mcTqYB{gMFmGH`O|jbaC4|6susTUxhy!)t)J)csr4XydE@1{ zy9xJPh^_$Z7aUl5k6i;{uyQ|-z&f9WHHzg?1#tMHqE0IL>vrIf3vgv$!TDc4IP$0h zIDApTkxIVS4xD~~vjP7VoWJ+Mkw+E4;fo56RPyb1;B3Nb%X$YpKk&hkM-{-~iwcfZ z@=rcEY3a(K5X-O|SklSZgYu{XFnm#gkxICrnlVp(U@W_Sk`IhLssIdMRA8i%t9)S6 zqMm|Gk{rvddb$seJgNW=UsQ0Ul4pd0W0k}o^TClv6~N((3XW9ryfAPq@~8qh zd{M!XO0Ek7$I{Lk9~^m90UW-l;7BEF!@#k^Y@-j3JgNW=UsQ0Ul1*XYSdM(F4~{&l z01jVNaHNvkd~p0}ZgI2Q2Sy%M0ERCrFjC2$cEIpB5KG1{$pQm0|6E7RGoFs+B^6+0 zA%EC`llM`4$cNKsN1Gg*_2pSek@4R=s(?1WsA!W)?#+NBIY3l|GVe%><^MaeDz_5> z0XfV6lCh)$h>|5moSjn1gaL5{21i1la-5xmCK5uSK55XCa(2q23gGZX#h6rbDuXeA zlcsv=ht>mf)(1u&RRD%BDlk&XIUkrjYT}8>BHVt)2S*-N0EaIsI8w=+4~~l)>e)QW zTY-6t4~#sj01RJLV5E|N-x?U6B+Wxo6qx_$10#`u|FdRXPnH#gfFMQvLud0VFKTza)? z!#jOM$fF9t@I?hiD*43_V5|guj}MGIssIdMRA8i%_l5vtb%S5`fsscQfZ>Y@j8yW0 z5MV5S{jd*=JgNW;UsPbElHUmd##+B0^MR2^6@cN33XD|p@ep9_On%S@MjllFhA%2G zQpu;=14G85Q~Q7Tz{sNt!0<%{Mk@Ji2ryO@eclH~9#sH_FDfun$rsuIL*>>I@L&7D z$fF9t@I?hiD*19dV3<6>%Ehnwz{sNt!0<%{Mk@K+3@}m5R9i|Sl6EV_{pC@GwxwRt zR-}?|du{)oQ7lDi3&G+LlUg_1fNP&gT)n#`{d$@~A@FQm?cvmE7*N%@fjfyydj?)OgFI3T;ch(zaA` zz-wEMyp(>+ag(XG3&G+LlUw-fR0|r)}P6+LlKZ+Ln5yZK>p4UfUmclpWq@ z+LlKZ+Ln5yZK>paUfcf$Npf@^@;=kHJgU&P)GKXECBNad{dA|_yw9{Pk1Dh+^-9}P z$?tk?Kf!66_nEfkQH8dpUTIq@`MB5iOPscOpJ`hjRcKr4m9~>gytXHtwt1gvTOL(t zTk4gzrIJ7O+P=wYoA;@agASJfNKT^z8ycLGswu14a`au#k`N=UR+JG8djtm)S31^ikZjW&;JZz_kd?e~I5ZLGwuCIFfHo0?|yYbqfEN6vBx)i|`aWS|J=q5@rzo7Ccen<$VFd zf7bl;He8Dk{x$s88N$a6%1@fyTuhyJ80eoyHV5WrWfs*XH2}n<9e@;iS`ENXyq2c; zpT`p&0Nxi2z%QDgehJs20qB&m+zmuCdF_%yGx257oI*k?H1EP|X*9nZPZZ6(FU(Mv zVqPmRP-L{sQ1?~95kmLrBw$6!A?plvn!Q-%+)|KnlB>8NWn7A2QrYQ9g?@Ir+wn9_ zn|D3U(kAZ%yfTJi4E4&wiKzY zFqc<~|4{zYL(V@xE8T89b-5g@!fI)h>$L27+5DFpgHqM%yb)go8R=5wqvESLBVDR^ z)8vp&!$TjiLoK zK^V2~DR?EVIYPcsKr@*4;$AYGORDAx81j2z+R&W89aiRPBzu-*byxx-G;n5^7np^8 z8ii_I9_4xc!}9-A3|~SKCXQIFusSV2U_Zu8 z-`PtZo57^sqqrL|zcQ$M5Z^bT5%Cp{;d3)8eGsi!l4G+gFWh0SY~E1nTonJRL+8AY z;`ifftipsUo`ceoDW9pqNj4{&DX)7TOZ-qDL80_XDBGd<@Kh94HkRf|DCj5Fs%J#fpDL>E5LD3`(9wxe4St=jkk`n}-ZA;Xe_BLvi36lr}hZ zC6}bWMRi45^UC+lbEFs@qvk5w{RqY|WPYV>!%IggO4HW}Fuoj4+Q~VW24jiQ#mqN< zzP7U$zhtzX|5j=2FI{bCxzd74_lFFt&1g1PKU%29KoDnn`94D>q@tA#dItnz@TpN1TbVu{F1exL+93&BH{KVf)b6f}UnckbK=);3et>+MXkbb zJkp9sXt7Ejt91*WlHKx187>8_LOoj>S2wOgjfc?`{p`I-&0O5L2~5*UuBpar@cVRz zwX;r<{Hv0>dQpe1?+EH+>c|279f9=A>z2U4_vGEYQu|Fm2%j9<#da;a+_r5!`p%34 znf_X?C93%p$_JYQk>Yri)Sc z{${+r*Wl?GAU%$8=uhfQKW$wH9l$fd)d}?Vd{YhC3d4gTSG|Cj2QTsLAi`Tzx~L7l zlcs@I)N&Wvsww62r4&2^4;GvK~kA%{d7v@R9e);82E(wYm_yc468XTVVpvXY{G z$LMkWy9K?%AwJ%(D8)&{dS6Zbei^Oa_c<^x}blG)WH)8 zvzkW!TkU#MM&D2K!%Du6cINvumC${JkB=DVFa(A6Cia^HRTTSi6@B&$b-6 zy;QjwIwgHN^rW;eE#s4?Q)?|{EP=rwOTbDN-y&dMAKwEaHonM8xIHdeMP<< zp!F@TC188p&LZGJuREX-97r_Yyk1(bNysd4+fG{q#Et0H>E~r@&4W?2JN061#mMc1 zg|owWc%;pcx5`2?4!UP6!=QZTA`{zW3|gUmUarn_u+OMjQUgk3X#-kdPl`*FYe{S% zMlqkj|4G2CXHv5-f-`c){1Ro`8qLu<_hVMk6L$3L?QvE&aIFMO+V`dsL^&d>&qNc%M4`C)BXABhf7mfBD)i8y47Z zIbdv-+Hy$;cvj~iX$z%#B#6#0v0IAgm9O81S=P4xFgxOau_2abrS5 ziN0U#BjlS}s%TeTwc5jd@br<)n&{GWj-kyh_1HXLd!1uwx#bw*Zm>y2GBGKl&{=*~ zq9UHlcS6_7v-|yPYPlS#CB!cfzSB6I%eBijw527tQ(2kE_3FG8%R6tyfXTv3PFCj{ zQablPX_@$%LOO?#MuxO2S0qi`R@q*0CL!HlUR)xy4IfsqH{4u9#r^WjR&UqOMWMa) zVf4#}wVR)}LOdDyzlGJCpEW2i*HBjD$bYk4t7Y^KrK+s{QSjfKTWw2>n;cQCxun&m^X-M&mf!(GT*<8SP3Olt zTW%k!Pj+ixkL)h=t!Zk&Jc;Sj^8qPutNFI_t?XyMU(5IF6w4#OY$#p(l<3SwoB7d9eaWQavz+fA>jwcE!ug3|-bpW9ML-B^5QGqlJq z=Zn03yl89}b9+mXL?zsX^GaWhrz#hS;d#(jx(=OH}E-oNi-1 zOvH#jD_7q+9LUKtgm_L6v(Am098n_Q@_!4hzltx}jtP9bL>k!}ToX?3E0{ zI*&uCo)k>o$DNU?NrH@wXxA!30d0jQ4OKXs}^CW=6Q^upX zuzLzdGQ3qzh+Cf<(^lv5bVoRS&-s+LRe6pT!2BR09zA^J29Zic6H8kFEG{_qp}uYfrS^ z+o9Tve&$6gsP{1M#1uVDJbOxHo;9@m?FoABc0kuYU^2}gPBe3U{8n?)_z7rBx+A2hT-iR@)sC~qXJIqs`@fW}J4p)Kn9c-RS)vj#Wwok(1;<@KMD`yOt$S+Z@rE{?DMsfD~L0re- zvz%Qg{vL~_T6~awI=dD;at$Dyg@QO}#sj{|P zo_ww1Sq zv`sG?wI21rwk}n+?M}223%lh}vQ}HqSM{6M^L63kE-d{OTLPEje6_Q!oNOn)%I*75 z4C^PkMIO&q{U973)}(KRM~!vbdYM+M_cf%IYPE;Q%~{Le%{{USwtB00xjgNw&L*+E zvPm2^tAAV@<=LULMpxN^yBX-vqE+bWe8sbs)R~TyY*p6sBTE08UM^31u~g8CRzi7x zIHzl!e`FK%=2jffO13n;lalLw?8|-6;D*F%s`HO1o%^3$iS)IF^!{|s$l0!3i1jJ4 zF!w{gWIZ?319ewMy=Sl+dj@6QB7Z+&*jVLf5%KenTO^1fjbWKJSnw3QQH$moW|u>y3*ro+is~)uGW5sy0+K8WN4c{CSq^#^N^?ye_`^Fs3fwnYwhQ^jt)P08f1F+ zWu1rQ9B^{3u@--WxYEOW4D0d(SR?7jy4JeJJ=nLf7t}T0I1YZw{4Hy+&d-(qS=^s8 zCyNe_<6Gw-;Yzyf_U$j+onP~bnolT4lF#&d>{-K! z?A~^}VyeHCov-cYf6XUCR$g_?=q2q`hYI)Pvej-kFQ&A69VS%u2zS2EYCX#|$H>FVeVr2V>p zC@_6fTW_`E8qPb28w*L;d!4Ttmua8Oc=7 z>~HH4Tf?jv0n$HEZ^>yHQY@@#Kca3sAmEKfQ*2*up;4`C@ax#t{q{ z+ZjP62hbK;xgpgGbH%>ew38m$7IkwwE)VYrsGF3>NUxT4KfMX}A;7v1brWVldKG@K z-o0i&uWyzgY21l)dItf^PV|te1h?Va9(+oGVif=5(;5~*&uqTvA>}swWKmC~Oed*x zW%4M>@5V%!#lW=e&?;2MDSQ@%85>+Uoxk(^o_adtP!=*S7h&xtb-sWLXC1ARtT63q z9t4&}rB!??>3L~_+#cnT0GYHfa$&bx%i<*QED8X&p+!3|%kIML>c)l{ww4V&(nOT$ z=dDya*&@T)dJ3XSQiILnjslq}%DF(k8R4d6`AnKpT(W&Dve{t*wU2`XuO^HnrT&{HH>2kM;HdRoC25TA!p>#{sO6LXBz{`!5tie&(n*Rqq;S4k+o-jTv_;XHUTSS4Jxk>q zwGFF7w9+&}ml3+UKiWDcA-_n#(zuS@$K+> z9)hRyaN^SydnB)~)_2ot&bTn7eJ|AmH^nnkti`L|Os`CJH_~LXRylFu+m{|PqiEiwF8S=EaodpWBjDvP!x!| z9tU2lgUf1uW^T8sUqU7cmDHSKG5lT^*ExB^vkt71-6bE~j~X2L~k zZl#3i;ic_GLibW;WR@{+4ELyb*ATAzh5?JFw|)%V-ua{4JF0jMA3 z!R@ca>2{&T)e3Fz+i8b9mP#TDx^-NKwymGO4^1_Yw8qs=l4^bYqz#dGluNl#sw%Qs zp~%W;9ryHc)iq7g+Su7O*=@0(T?JlBH05gBp;TR-lUiKlai%5`|X#l+IJrgKc1o(4!>+QIPwpy z(RF$s#;Wrz|A3m-aX#H>y%YUQsmIsDYRR*ZiK-Rv`dLdJo66UfQPpOAEqO>!wdA4K zSyu^sX;Mob(ajV?<>g*kKbLYl{dBFhay|#vz}E9DAGO)S`@@>_E!`xfFcy7wr}gkv zTwhb5ACGaGWvtD7D>E|Fg@5kHP*pBtQjhHKkV1IEV+UaE0Qc7ViZPJ(CRWA4 zQnl5J`+a$M3B^C2??J3K)}da)w8obIR#^yWv!o?Sxb#%65#}iz#;)RR*kvR-r_VQP zIaF>3jbcChS<9hOv&G+)R%K@eaY{V4UxQLht$oR=F!(biEnkZHak^a9_G>w`G<}Ab zo*b%T!72B1{f6Zwn9TP)38eO+8$Z|1q6^zSp^#@k^Zju{IZ+=XQHLA55lKg14(T+n z4|&#{)VH+aJ`@w=ym|ewdnXp|h%kjgKYeM+cr>J&2W-Dj)AfPfJqVsFZRdwt$n8^!V08T#U(mxSt4S%e}enR zCru_1*#XbK$~aR3=a=ZT?a`cgoc$($!#2di>_ZkFfuOitRS3GF_gm_KG#2j)Q+zov)m0ltsn7=u+oamd8}bqN^pAUtPBRu3M|jTBD3^o-D7T ze-2ezQBYXQ>z|~_Gw|%pg6B~OWok-BcZTomsI^hWe(TRXZB*xd^|j(`o4Ql@IL7c4 z{!d~gHQS<%Qk8weMP)kUbF4c{OYZ(;|F_QC%eObO+Bc)l4`QeHVPvzEojv=&kGZ>N z??u$cvwhzK&DNbow8AL<@^~qM{I)i|bYtzudU1}pGD(&b0+F6_2 z1@E@!?y=VFWv$C});{-Ay6xx9z~T~}ww^tiE4@EN|m%!>ujc99uljzLno8`;O*8yHe6&o z^nkHJYfE%-6l?A(r`G1BLkhYeaTr?lcmKg-7=)?UrUlk7H8Y^)brn}j$i4IIaIL#Me5_I5E^8BZ51J}abf@+3>}p(dnl-zQG-s*gz$|y- zLcX0*=fJc(STCisY}_n_-0?k${c2}%722)yVDbd&wfGyvmDwndfg24V>p?$q6|QUC zgMI0H5r;L7-6=e^eYCO0?Dw0&Jmh{c;s?A$tv`K^ z%7|#rjK$Erb|J^~Aw-OBOS0y_6dCk)CeY;3aaMDy$_ZqqjOEhYRy@sv>PQn$X{|=1 zpgV|>nDK^tyf2<==aXrokgs!+io>0&!xGWk%5u(athiWPluRD;={J$ z9Dt1`PFON@7M1F(KkJ}rcC$yEFZr2cR1)U@+F37{$?|@*d=%8c(V4{esm5#YX-_pM zF41Y*+tJpn@kz`;e?MXJ=IW_u>zn7hwJKE=g2mJ0^X7CdeWq}7Pj(Hs>ewpJO-4TU6>5m*|u~w2~_eFMX`cVje9%utb|L?KBQ-Q2W+DQ(53goHV}I)ukY4w=yV>Y z<#hLU=qM#{Ul_Bsk3qwnY;4EfQT%80=vI7=;^_>&v8To5I_;xf6+LTORPVGKucg0q z+E$iww}Fl)jI}p|p0ee^=GoEh%61CNNm$(6`7@1KG-mYsNdv(zSrj?Swr2Lu)S+vtMmrx9So4nIFTy5xU}ftP$vH|1H=b zAp5*WD(jL`Cu>?dd+HPm`biFvH$GNB9ERjQ0vudi&C-Q0tzmXQ{=;o64;Ny?DrIa1 zE)FhI9Eb~Pk>zCkf6#g3ep0fup82|B*}7CW_=BCz)iomRTX(#6wzh?c?qKcYi>hT+ z)!|r2osJaJD6)3vXdU%#2Yq#mHLau>rg72ry&eeQvx6VnYt7wejm25`L zxy(C`b32{53{Mh1I0?Pz81$m!@Zd>YLnm=Gq@w%`bK6byL@#>6hycb{oHY8FP;dS_ zp}k=pRsCZAPPLi_YZ}}S8fDZOQ)v-_!`+l@AFhmq2A|7oy^C-zb7VuAeaWgY_&rNYnOYiUbM=e=wHxE_>@(cAuRylctvapqHSB{5;d-huAFW-cn6SbXK1p~^(^TyP!XV-RO zHLkSOC9`SY9C!yOQTvEg`LQwIYvuyJ?rk`?uV;0Pjxq%L+L?3{pgPF$0rwQ5!txIZ6;EC z>E)+WkLAV5Jf+fyUVu739kX&wVnxa3KFZ(YP-n61X3Upz2mP$M1TjG@6!UYxRm95v+iZ0dsbej+lS=E;;G@BQFC0XS1Icc3CXbqN3Ami)fs|pUc7Q^ zcUjITGruVFT%DgT4`2Q>%6qX<>il%o>Cun2s-+L}k@y)Twl(g=dVVc^>g=d>cGM~! zW#!=1-RkV9ondecnW`2uJ8CCURhAAqiLSD>bTi7z)|waPqwt3B%Gq3UKc%*>pZWVM zizRfOpRUeN=jXBOl-Jlb#YWm>J?T1YG9Yj0I+ zcx^1njA@hje+1_a^yB{k{*L78T}rj?TU#4_^ZOc&#?_DZ^y7ohFQ)VmlbPviBt61; zB$YmnU#5`^j^c|?$8n9~e|%cQR`Hxn6~ibzjO+wwu%>_(uENi|jH8c7Uo;zjZsx6h zD9zJQ|f@pPw&XQD8Z(z%DE>_bshk7DMm#Uog~pG7mXsAJqVlmF&EzPSQ#4%m_} zG;N##@T_^%93XKT!9iTQoid5(UXxUR8jxggAk930qRfkO3S%)2bk1apJpN?@53sL99X#ZMp|VYEattOx3$u+E14w2$9yaHYF#7=BxdK1;+wLM zXnFe37&Oa;=Vltk@-(6uLi_Qa1{m+H$#JN?jk9=Z8nw!CeN0ilzOfelSp^17su)Lw zBpoI#*^D7dN}9!D^?M^W%Pw_t=pWH90bAWrfSVd0v_b_P#RnW4mZlZ_7HOLJ67 z04lf&+1ZC{c7_>o-mqpx*0Nz@l(zdoHWTPVM&@5*2#3nR5r`-i<0--SJo7dsWIlC3 zPC^T5k`qZ$1S+}EF_7ap2=fFY#q^v4*)^Mx;(C`9e;DubRL(>AfJ-Gp%W-bwNUe;W;(?=k}gOk;4C0f ziJp0Zy3aJGE22BIJ;%BH(S!PM;}49!F0wLw$@Grs$=Llj(d>K@ZnO1wD)x74-04RM5kI zQ9*AEiVAuoQB=?ykD`L!s92&tVWgEM>eS%bV$_j2{X`@sQ*9@);XVQ7DT0kWk)Z8`2C{C(!64n{UU_iUT+8KJJZg=jo~7s3)IS5h zXZb3VLGA=+l_U1#D3YC!7)MZMXX74R*+TlA*7bAKl~p*lLcZ<9CfGv=NEcYszqYIE zPP9$uOZKqkF_=*LOHmzcZ=twrO`R?m;j&tm3Tx^Vx@|?BmkRf9om40QqQk; z^J@V5|I+9F{^0^6kS>R6Spc8GO^{DKM4tcG;%^XFIth;9DVKlyVN5O`mXl7XuTisflTaMdh( zylmF*RAhInK5X?cMusr7ubhTfdzOm*?+a^93@%g4cPUWqr64W1%GUajqFP!kjI_|h z$M%9(+SSw6oHo67u<+{*zQ^eh_&dWlPuE_j%gXCC2oKuww1XFuUM~d+!DOtPYu^)i zo}Z?0VRf^CWw9o`1A7uS!>7Kj@e+8`_Wb7`|o8fPHQAAok zJ*MFi`y=3=T&J8eUSoHCGH?CD-N&15(ZbmZN;d*`1nqJo2ffvKZP^;rzUh#?0(mgh z(YCIaix#EGg6iI{5!f1g@i&Yt1p5(VyB|@k`w$t+2wc{k<|D2BYT5OUgQ%q+TLAAs z-D{ej50^gk>CaM)8&k^&UhYeh9^18&BA8e%O?AbsWfOnav4FmnUW8|kR$i=aO`EOg zEiBcM%c~b}pT$nha5ITh_-{b#C z@a4yM`ajB>r}5@b`9I2={Bb(_BeRexKvVcJg~?t3#lOD!CMKC)Wg9R#VaT63%OnS$ zBm|q}K$8}juZ+nQ&Y90l)-*{BC1yIeU>yObb~j$5G&}_2AdzxBqxgR#WmOb^vzfRm zivQj``L^@qAIy_SohRQjPi#(+DE@)>!Q|aBjS8vR`dKh+`q@4+Rog}^+eRv=X z630oIgXY@-4#*Yup*s}4>_~Iy$*5R<-1Kt>8D=D@9Nu9Y+t8Quwlwjx2auitUbriU z3{H~;GJy}?V)C0^p!Nsw;{@(_o+7i_NYXhafNKr!`8iyhkZi<@^b+w9Djwm@Y<0u9 zlgY}2N6a5J10H?av@WrTj9f>nneC;bXTWavm@5+oF2GwUtDtMHZEjZUD0Op`c?a?C>1vLShioS?)@ z93hM1Bbk|>K(^UJ)}JaLHY8E}SMJ!ZEvTa9IB-lwvL!h-nOQR3&o;E^PKG1qoWSk2 zTY#@w+W~{>lNe!$5*KieWV%Ur6e+!cFA9hC0Cl60nhCJIE#JHoG%&_@DIx|TF6Dod zeEGJN5q3bz5q4zppUGFWx%rA6TsskoX{ML5v(_anrQby9AW(bZ92;Sq*7(hheWGH|{rm6!~P5p{_syRX{*DlzXmh3q%xW@_i}(uCGM#bDrTyMy9jl3smc59l}CRKZJ~~2Rli? z4v4G9%%obS_)7JtH}&E^jOPi{GNt|$Mw2;YGp~qZpo2dGbWrMRf4t(Nb!3aDi$$?0 z3IFW!V{J#Y7$xGucC;r&#gkljpp6vgCDw{xVJ5a*d=m$Q57 zL~&vA@yx``tNjpKQqv|?yP{MOAKIXTQIUuyiqgOl5ozq_?FL8fdzwbxVAHmU z%C+AR=x(H&rg4lmBKQi%B%>xi368p=MqOqyPJCkfiY}MqB*xca35gEl#7CHD;sj^m z{D0qfYVX>6SM7aobNg}|FRXL>-a1wPUAyY5ufA7(1sLbG~nhqRQ1&UN8s ze(c7KdnHudYc+r6_FADYCMWbMtc;L0lCcPzQ*9->MsbNZLm;t zIiEG24?(4>CHQd@(TA*t$Vw{_9Mt}Gy zD=F8%*ixg;QH_$vT1mOI`oqUH>KxrDd19kvego&YMxB16>H-NLF^lo+zK&o1iUR`_)|3x zv4L$7k{gJw*Tz%v!>rWTeC`he(R6d~m`Y~@_l{SDbMLssx%c0TLIQu7{c91q+tF{&0?s$ED9FA>w;C|R2z1H6^au-A)KBYBgV04BadwZ`=Q0LWyZ_LR0l8adbQdp{=ZP);qRRiFYgZ**$WUU_G`n!`@?5NoN;#Y?%h!j z=Xm$Q$GatRM>CjX*)fZp4OW!6KeLi$6O?z+h-Hg!;*#*95Oag!A`bd}#7&2->h=DM zxcJh2XI=ICnV{b<7!y1<$HlwCeraBCapGp&XhI|n()VVQw%ry^aMS{my|IVG&zFjW zFA@hI({G#fEdi$;5;<{;d`ct9EgA{2wK(Jz6@ozE%X=@-lTOp;MXGYWid~Qx39^Lm z5%r55z4!vB;I(S)5j;h4fZS1mJS}dC!{us)IZo)w+w^7&D^+)D+bz)QRTovNK1V*{ zO4VIi)MM(Xan&m7$?*u49l)o(OY;=_r;e{zb<|YV$Hi5l$&KbYy{hs;N2pR|i(RIQ ze8MbhLU02$y=OiX<=RxmladwlJ*+gI-_qD;jr;ee*o1JeN)tx6`7Tf-(bLgdHjTGS?WekL0 z+e!QvhFrfu+@HY>wCgRjw&pNr{3Zv$QH@&T6Kjo$lDbA5WkTz$9;sXli(TAkdYPIL zbk0r8;r8>6&ez}mDmgdvAc+^Kzx_4~e3|4cFj4W)5&1SD-i~|wB@C6AM8VwVCo99a zxyE#pv89@C8FzUTpC&wkxWZZk0_)=jXQ-mzt4fq%`5bpxH{x~1CfVF$gy5ru2M{w~ zBg)ez2}F=4aUDMD8L;{&hauy#N}gQ$=fpAV1i`oxlE{E@E+b6Ngviu`3e{+yx;s|R z6u}MgDCRKKk{uLnteEBcf>}G zJ+B&F!S)_oMQ8N*38KX5MkVVN&p-^Pg%2@oT-8tv8@nka8tB>&OlW}lVvUIeBwuC* z*XpmL-F$r=hoCWS3LJcG9x-*I-h?i+H3`w1pD%O+frQ9c zo>K}S+$J8_b!`zr59h1$*5srL5n5kh0_DlQ`IGvs7&ph}a(P}KB4v1qRwfiq*vOq6 zeb#dD8#i9Xzm2Q zMo)(|u@Mro%<8NyUb@ccb#-0w2KCYOW~n~*hv&qVMS6-theK{sCz)5@G4v{|K8Ct- z&hm7P(D^DlTVH0})~`LU!%Fr%b)Y}I+4U<{ZZ+0uq0C$DJ`VuY!86r#kvfPCY>^s; zlMA@A-RH4XFMtbsg*2;;`hS7S@q(a*Ez|$Fyw~fqLH*e$v`L<82+>C9Iu#*)r7-ve zA$}$gG)%X@x|B6N+Gs@F*q%#I;%qRN#T|9G^}2$>A6P+0hikDoTj>v{H|QufsZFoj zI-Z@$x;py9xu7F9kN$94gN{5>6e+ZYd%tmK2lsxjaqop6iXKe+_8&s7ApiEZPqu~#Wsk$6E})Yj4&m<*!a8gdJt8*U;KM6T6A8?P-a84 z=zAWZjC(Fx)Y;iwv}mki-w?%y`uHTGMIRv!8=M*h8=W&^-{POx3;nF|M#=5L1eQ5% z{MFZw_rCMmLGL>^NYK6Iw)AP^pBr!gc?D`yw~>hTEzx1Nnc5swFoGunN{9m3FI zAlfP&4ihxa3G~1T{qEIY_wbr*|2t~JCwdepleOH;q$;3Mz}}uSNcD%O6ns`yk87z~ z+Q0h4qvJ-9W!1taBxVBd7{shU7wezc>VoBFt3Djhx>8zgIqZIL#*f|Aj4D_!a`s8g zH=TAtOt(3JP7CGymc}XTXfWygEFLQ;(rvzsSg>8y-V;fxtW?@yYc>JKy>34)d5Q)d z`_YuF(@g)A?cvxo+8bru2rQW?SpRt&tR%tqRdrR2l0snz7&8k1{A0Cdn*>WsWN29~ zK7&LFmqB`5p|Vp|bAjsc-E!3$*S`p@<7$cFVMM$POa95>?KI#_9EO%)2AdE|a5gYU?RpfO#c`b09(ibG`l z*1^#qqzCZ{Y_>oA2iqpU^w1!xsyF&wM1kiDC)z(3F6UsFx%Yu=n2B}u*>I2`?oaL* z*b-4uuw2XqFvTM_^TDU_(r82)Q>44+c~gbuff@IZ_hK0%~>$iu{eUN%*PN$77`i_+=0l!@A+9s|W4tlWWTo$e(;r#}-1UdE zj&ox{;Pmb};&w6j?hoH*raL%$JsJ4%k7fJ+gEry1%bEhjh*M(|!Tf^Fiqzob+}xz! z-I{{njhKC^cs6Id;N$p8v7u~K=@ON>A9TMs^^tQ1l2Y8B4W7^AL>O}hk~!fz_6i($ z;X2;JW*v)$1+VQAuGc)XL%7~yO#3Zq;hKBcyMk2!7_n>;m7OZ~i@=OA9Ah^nSVk~_ z`*AMH78^q+sWR>c1Zo0RNVl-x!7Bgy>m*$3;)6(Ly)X8VSPJpfX0Ze|`P61{E0?Ob z&#~BZn>Ar=3{*a7=^Hav%-;w9;U5P6J7;$&ZCWNeT_YOQ8 zYxi>f#(g||69QIn8{_CCaFH^8(qe;|Svqo{@q+%6g{K+I4(VaTpyAY80r9|XFsgfjCMcY6{(61al;x(!C%79l`Mif8%2-&WV^^^@AjuybUL(cz zRr;6E4SG^^u57ueXxSg$S!@gy@WtI#mULHH+FfN?ca^d3D$8?K2zc=L1p<1?I}oa( z>&%@W3o$pJjE9|=oANAAnP<3Uv6>qyou_%-sVI!{a<E#g=N#<5ko-ffg8CDY8x*lyM@&5i8D~T8PZ#POF*J$Oo z+dqvM?Gvm{JQ~L|S{>UcIo?Xj8$A2NCpk{Er98P&@{~rar>Z1U)>erW5Fl2F%rQWr zpmCakY;dIkYD}kR@E5PLQwvB2<60hLI@Q3rhOmR2;?UB<8f%B63Ci~A{gaMaC=Rf6KF^-wd?aM0{g!;h;vI-k7FIQowD-&vrd|y=9c(3w> z`?+Z0&Phn|_~ay{xK2(&itEftNbzX6gcQ^BtaG|>ejprhEK~D5O51+yPm$$KO#5~E z9KBChf@S_@0fju8*aG4=E##6lK#LG3kSLw6zwml~vmYL!cZHoUgw>RV6YM)>LioKZ= z-t4#B??$vSqAh><-b|z#=4CDkB6B%8nn)>y0h)WLiELY>e_KW0`ia2N1aFc%hU|$? z*KE7QGx`Eg5nsGpdw1oF?V~T=THn-JL458Hl2E7ndh}(=usdN6rkBWvMQX5J`Wtr> zk`GzAI7V~5iE5*BrMSP+&31`cu2Pd)(nHcddIL{@R;E+*^kdEE%`2W4oGee)19a!1&NaN5)!4v@3?Zo5w1g$Fnk~Qck!u;ZR)+w(a-sJ98y)W>Ll79F11%E zGaUDaV^;Eq1yS~gE35?it>|m_CpGqm9kF}52GdPCazMYvX;JGt`W5#ZLssgE{*#su&2smICXIkySv@^8KN zGUHE6HT~#f9S;5a_7l!4zoyP)R%$%>94ICeE3oy;?x*7Xie;Un%!D4xLyv8g94yYS z4Mv=<_|9n#@tn@Q<`6%9&iNIO#*E%XDHbIY5}8MlnaD+2$ehR|1Z-8mu7+%}p~mv( z$v^ysWY1gmIbz?%Uq2=ze}33F{QGk%22ly83bt)~2V%tI*BeJij>I~Kim^pJo7;rh z-ccvm-tn0E4GRQ$VXht1|M=Uub+}Ukt?$TsHgOpnD?`UM$^9Wm;0mELRS8LgP~O%` z1YEqLs}iX%Ru(~AnLZJ;g%PXuakvjjnbBH_eXz{5k$D`t+V0|UA+YQPQOT_ul>Ts= zN-9E+wv|d)R)2VhN9Lg&5oZ+A=7dDo-q-%{A62bC{944^f6yqot5Nd9M#(=lN`BlZ z`Dv|0g8-R&>{uBR{i16Bp;9Z5C=jLp-FsZJEs6bjR}P!I3G0tQJ=F{u9w0I;1quEIGh4$(L+9Q z*|@F>KiQ%>!Uc!|5>J3%l{kXi_h?m@+}3yY=Ul*d@X=RgULF;zANM<)lL zz{p@hg6XuuqCZ6G>km(|IZw&>zq0Q?lUDE0yYc~UZejvpG^=D6BE*fnaqfKME=x*W zy~EnTtYWufci`k43Lr;02-`*7gEFQ#tFGQXuP{9X^=_<~=b+v(!4*zd-%O_4`PM$2 z<2Lr!+p=|8c$JmJQh!dpyH_;}^$y)XCW6W7{@;85s|Rk>?IhW@WQg`bKo7~AaRu+u_Tbjy|ttX$1({G5(DYd$bJz=c@I$_jx(ce z&~<+Z=pZ1D$>{!%(Xbc90gZwukmKS>phwW{-UOPcsN}EMS5O-)9C3gXo_^x;> zAVws?`B@L}CvYFxkiW@L2}xj;WM{xU#D(UhwDE+-n2-pFT_mEx_t;7jO`CwnTOk=$ zrhBEviO+#z?bBrwh&7ah*Cr6Zg>P#MjcDg=0+UZ^6OZBadJ|*h$=d|x62&|9PFtdQ z_VsaTT&v`Mz!SAg|6?JD4s*?qs*r;9N=f^kN_V%oYG%IE8nxt`6=x4X!2+8qkeva>2FaUl|@ZO{w2?{TdD z#b(U{Uo42fAbJ@WhPyiZ@mF+YyH2&BHzVFYKK>G^9Pf|f$5dR|lAfWj_=GJ<{nDrw zBr&dM`NeD71U$O*hG(s@;>$!W#p|Bb+Kxaz2y2Tq#4Pf*f*b3hQ)!I3WWO6@A;~uO zi;J$-IYp96(nGWJiUZ>T(Q-yy0-h}?fPrtsLxl=lk>a!5olzAC(su z{f^fG))S)2SYFsWY-~?Z>-rp*qdOtSGht?zXe?qfZ(=4!`NZNG0c%3GnH^ep@N4|O zoM*$Z6J1+1m8US;pNvO)DvcTGO5>QadB6IVR~mD;Vz~5eLM*u$@00aKpyyQE#OR2d zMOZlR_7ja!_+mT?Q|k=lP-)KEEcwBN1?+J9Bffs<=Q^nGa8<%!!A{3sH{vPY)-UmM zo?CtMMYLt0*^F^-ULy{%t2e*GU?+{X7&J)zBB8m7d(-}6EddLT!@X|UaQ7Q&Y3S7% zqII%?lSMo8hCDFdTR2{RzJ`SzOZ{QfT%`$kwzm0VRO)RO=h|R?7|lz)4YE)2Ib?;( zw1Fig+o02q+CbFt`|K2Pol{tF^hSX|woAHzC2^A_TWh&T6jK^+AQ#z~kd2*yjnTv4 zt^TkaR+adSTrd!OBd)UBt_fn25E=IqmF4%7c==7WTP(m5U?y#Bqxo2^VF;l+>;Y^P zTQnoS_r@l7HGrwd4cy7WG7guWvaKZVM>tMR%P(DKXSan8CPd?YuZ5lg6@@?XRgtxF zG&_qYg;Ae(`HbQj+8+?6`96a%)fJHTAqfs74GA*Uxf&@b{Ys4%{)Njmc99I*{#F62 zJ~YCHIUsmlZpi3R?AQMHBnLi?&X^tk_(-eRpDr?HB}fSFdU-sb430}A5G~GOGA)dx zNOOiF1BnE=#6QZ`?C=A81^`V%|;~9!XL#CPimAi1@ zSgGAW?~bT^kyY6x`Pq|W6b4{kYPJ5qPy}&-B%bBV|_@AdTciRESxYmoj!%18m zQ&Q~D9j*psGWgA%w(j_2 zYB2v049MhR)LA0BCc+bAf9rJR;yRm-zr(b>IwK5@zn)4e(+soV6D%t;JI&+w!SVO3 zm^gTa3$*Bz z#ECGE0P^oV-V*`{_tSX1x)TPrK>Wk|MG~Ad2n67vzTa(-#7`f7kdnl_Bg>a_&&l&+ zl7PdWAx=N8y@LIsyroW?Y2tit?-}nN^q%pKefjgbyNoby%@GE%yC`pMtR09EVX*Qs z_;UJ$fB`r6;?Q$rupc3$4o4CQ6J9J%0qe)v2mkQnhpmJ$PlLdxT;6^{HztgE+Ws^o z>UM=QXlYiimOJn#bW0JZKWxT)O!kDXh8Ih+^KFE{{&0Ga>FzWtb7ViJ8+Y7~>Bc_J zV|{!Taub%~@m4ae$8!HLFD-e(wnp15gt?kY72@)#Lm&ZtDVz!y^4n;Nc1} z&mi;aGCp-tBZ)|Tu?!{ST0Wuoi37S+J6F#=-4=i|-(TADjKizsSpO;_RGVY{UAD$} zuAYttUk$H9p6L>wfGF0OpOaXu_uFDC%kO~+fta`{IA<}YfBB7T3UITdsS=4n2q)*h zTGv?4u}&CdWks#j>+mTTMrUXBli|7;1aFV8kz&XO_V9|uoaV(D1f$R^++3T&&9OEvfj@&7!+0VFtJjb{t zHcaInf|$9_MMT9S&Py6XPcEr-JchF_zk&=_63Wk$(IXsZNXpym*LSe(r^`jF)3kZE zja{N$rv+lfwz-v&$XEVFawb_2rVf7NqA$Oj5wj%WSe_tfi5NEF@t#)5!{GOeZF4qh zPTn@ZM}IgQ5(l0p!U#&^oV*>glR0@iX35(cEO|$x?1N&cJ~fF>s`4By9{LuxpP{#oP!3_j78TMBub4hRQ{% z$KA`G$^s)42Fs3z1Ca{$YmBlGQt*xq^c${f4!n>i zkc5G#Z>vOH1Jx_fs*dcDh&^XX?75&A-GnugD2IWZz{DRC5lb4r5cN{{eEThxLM|gT z&R7#(^P|fK50wY_2zh|l{3z!?`b%4p&(5#NF^xj|iUeY`A`zgtwwi;xxChBsgfEzL zKz>3L6ECX{NsSfhYm!SsT=?3o79A!`jfMSJS!-H*Jw9QXszah2jpSAOf0cz&5kay| z6LPv_$TH`pD&mjxiis~V>-v}L^m1inSPg@4Kf(ri$c36njJ2CBUXBRwT8Q%rs=QH= zGDHVnp&&0}qB&el82U9PjS{E)QhgskWhT9yqv0c{XNyRk5S-0=FJh@KW3peaH#kNA zzfhA|rCrA86urrHdXJq7xq6|-`$AhcIGn0~O(?z1=23hPz9j!127ZmgWOCTvDQ8%t zelnDMW?MhKQ-QI%)9<4N`%};7YY>SYtkTN329C^JMEdSztUbJib;xN-f@DTP23oY* znJ-ZE<7yRV9T}hPJ?*91lYe8->)rO5bUyZ>ae;>)W(!%GNyU+oKOyei;KfA|M4sm#D8oM*{j2Pr(~+Ax(h?kMp7X{ zpHuleL^?#n=*m>RPlZXWR5d<JD z0C#P~l0)v=xA^r=ve%1Cd=Y%NSOf>}B^nxTmLKN^IIj@~e3iZO1NAnIc;Y7XrlUcC z=2-7x5H{E#Y}C(Cve`;vO4w>8v7~o-qvVQ4$$?hB;$zy^+0)}yFr%4;U}=H5cPd|G zY`^(EgS|JuOMEkzv3N%?iLX!@w$3uC|UHN*wULw$zD_?(Ax2Mg#@)Z+v)1y6w zh!FTVJ7fq9p6-~ClQoNB^l~~_tZ^M{I#~RA)2V~?hkqJ*Oi-P4nlLI!=uFkMu|~HV zlv#UI`7&R8=k2%v^G49?tdz1F3h)Q%~&gyry?1l0`Vyq+Vn54(XEga7#L`wNTU zKYBqX^ztgBnZw#>CZB1=X$FW9&3Ia0a;@M_b~QOz9-t&{#DJf|IpMLGv^aQ8LJjyY z?q$+#wu;Vmn$fe-E=ZM2_B!reR>s?cT=cc#qV!<&zV*HMR>;4ND)kFF3a4Bu ziOS&C`0&HA%McH~!w7SPLLbQQ6Gpjmu1w;vo%-0S2QU?W@aTD}%tM24slLSI?WZUz zhmy-v%A8Ir&z$($Z?wNi$|p3liPwaso~seKR^1H6MLRUY#sL=I8$AyiA1yy0Y4mr- zm_wQVDj{4$&*5D;WLdA`3$bd!+I!3=2O;Z0?^zdSmf<^W86K5ehRbcew2L)BsBefh z=&md$w;z-10817+DHbde8estBMh%u6%g}S2aXln%LZU!en61l@q2m)4gr1F*Lnu8- zZv(IPJ7rJMn-w!bwU@+K!~)|7#{0uBYmpT-S=ZQBFxW5){o%z$W-UFBc2{YdcIu1U z)UL7{(3VfsKR+PJ<(#X`;&9Q(CxG?g3>np)Eu2_pn7QpkFEd|#T^+saY7HgFtROTN z&_?}?4<&^q`u2EX6nYQ8(%+;h$KAXaA_s=1TR5 zPoT}|w&BD?+>}%fbX@AsBh$$A8gF7nHfOwv>*S0#Uo6(l!E3w`s}ds(Y<86?`VUl_ zP5h?VY~)dhW^jX*Y}C&%8g8nITg^^WO>9iMpG`G6v8T-2_fBKS@3MhUA|fA|qA`A}5yQ7d^*mx$6I{*_gX+4s!J zApPN|?CG(Z>$dJkYg)qV-qxtIuTk=OD{)KvNGeE)%!pwje^QVXQs=}Dx%C@^LvH=r zzPwd%v60?W+DI>dhQ+m5AV#FenG}))qcVE>NAwF$nnHSH1m!m@Ac)o23~>QLa0E${ zfE?MO<2oCJU_PY?;8wv>QVusczP(O1$ZdSercJW(yB*`HoL)kTNwg_pxScRQZj}zw z(15c9F%^`S_vt-5wkV?AoSiTprennjt6ISH+6m)L$?b%3Ny1KuMQgjHbWY-9Og&qF zYuu1~Lvc#)l%EvQdLTjbHhsp^KGPT4!g+Ig;gFF5dd~^jzHopTEgTL=V*Ej6h8z3M zl|)0ZaNO*J$=I?5>6*tsLx{B0}X2YjkchkG3Vlr&5DQCqmD9Bm8hY8f*IjfiP zswKbmsDuF9xKR%rriRVg z9qo_@x5q(398JM)NPH1Q1c7mT6OINRL?9i`_m1=nbrO<8$yWUjHREBQn`b3|T<5*B z(<2i*wbPtdIVQDA!pluBi@mm>$^P)5WU-hAU?sCCk?iM_(dI%}hCu$A1O{>hC zBmO7rB?5go@jvALj|FXKi7sXwW2pts)8OA9S4h9BLHx03G}Bi|_lKW0TJ_Oz`Qo;y zq(iumo>-7azCN%Zhazk3f~ z65;`YRuDcucbRDHT>EVNB<%3xODI}|V&Hy8G{BpIQyM9QXoFVXS5L8|XN&7eX2fGP zA*r!H{AwfvJi%PLrBpDgzD*({_F_W(epBP( zt0BX~bCEt}wo|0_f*@U(%~#W~sWN1{ckrpf-WPs-(EIDP`+7GXmm$wz8F_v(PoA)v zcJc&5FXD138>{n%5Cee(>g37h>)9+kZ!6@^;uW&&K_sO>@-$ zv6mPtw&oET_!YKXG`2eZ6)tq*BMJ(Hc)S&fjealwiUqn&I?x{Z#uxTZ)2O^iRpu3B zDxuES0RqCTqquuxibDVk(Gobx$)d7GKf|V$46FI_pA7as>1{1n(LC`OHpJW72&v1i z3B-tyuwh~x!dS#jQfy`@H9^KBLltFML@47#1PRKxpM*AqtC3=*m5}No65%4+Z|fz( zhT&l~*Gs_(B_37-MOCI*e;=e_HBZp67k+)XYn3=IkoU8VH12%<&4b>5zodmURv2k~ zzKt~U2Txr01!6=R_$FP9=Yj&ylfxeBmlZ5Dl7KWw%77N^25+t`BQ6n@fIX?hN0}py zM;0t0+*7otK^m})2MB2_k4Xdlk6p^SU`0&AY7&3trZx{0E_b9}PrmHJ*mn14)5_u+ zqn5vEqn0jZ5r|?(;(!uzGcl|%4Nr52e9X=SB_K0%6bV{_N|2Mue8%wxl3EfZ(#lBc zJCdFaJJ_YCVrb)l!j6JWYG1NFl?vlamMKe!T%Zyu2+CTA!ZxQj{s?QPoF3jH7daV)fM$x>wT(@+%sNS^; z0-?r;Q+`4QBcCTPfMikrJZ&ekJbND|Kv)`PK7s`OLbi?i3-8||ebY+3&5i35CdiaB zyTo)WL6{EI@EI%FQc!h&_*pB76@$-N$%~>oU$Bz3QOTFA8A^G!DkKt|D(CH;hreyG_o@H6MNarLTRcCK5T0B-n6C4j z-%}I=FaF2hVZfAe<7p-Hy;vcr^W3M4FsRC)#}*@6uZ^_O0A_IOYW+0 zx%-n$)HgOy?wH=GmCu3J>!d#J?47BVvq!ycvXYM*ZJei8YE0kDkm?W<8GTuI%n?B% z%e9XH$_XPC{&N9kmHuRadWYPr4!lx-^@lg;=fi$(l}q95*zX;B56yq$m;5~Rp7ZS| zAcx+gD^y2~lR$^wqwh6CG?{-#e|VS>bSZ%S{DG0&V&Uio>w7*C`zWjJCKMpj;6F6* z=cnlzZ~`rI;7-p#q``k|;0y9ShY#k!T`>b94Su(Q-<P`@@Shv_*1VjB z$dm*3o&%8v|Gx(Qt#r>3;*hX%;NEi}j=%kMQAbE6dEzs*R7Aq8ve-e# zuA3iBe_sHOzdZ$ggh7AIp_{7%Q+A%l92|%=_@fQ{jd^ggPPESy5NYt=Ht^kT;9Wfj zA`O1Dfqy3t?g1ESw}1}|5NYuF27XB!c;4XR;6S9o`v!ha8#u<5L}VA|1R@Q7ih;i@4-T7X?>P`@@WlqcBMc{hXsf<_%jXsO?mL{>&}5lgAWY+#d&bN zZS6e=A`O0qfj=!b=4dEg)B;2r{A>gNRIcal{B7?!5NYu94g7KGtq|X{=R#^DMIh4P zYYhC!ZQxzh0z?{ooq_*Ma$R!y6I9o={DDY=Ut-{^^J6~N$+&<>gI{XkpU+VXQCwZz z8;CUc1_OV88+g~S0FegYV&Ly+1J7reaoz$%8vJ(+{DwC0t`!PI8hpEfAD5d@GVl~+27Xwcw_p@%=ZZk2!EZ9~GxB;<+pxIy0Yn=7bq4;`G&s8uB6F_i4h}>b z{0#;^Yy-~^i-QA^2LB@i|KDxkd5zD(fk=bD*}(s;4Lr{k9UO=>_*)J9L9$LHHVYr~ zJSjRj5NYst8u*cI;Q8h6;6S9oZ#D2&=Z3|T7q?Rj5NYuD8u)KLEITZ?BHF=$NQ1xM zz(1VsIRX(rk9Ke%(%>I5@U?B=T|EaP4gOIBpPwEUdhRFX(=68~6o@qVe>Lz0ZQxzh z0z?}8;|9Ji58k%TdC!4JgMZq<&uRnjTA@Is!S@;X-T6h-9X}658vKg}{+c{^o2kl& z1&B2GR}B34JU9}1JDmfO2LGCYzbOw+kaRmZ5NYtgHSlk?f#=0J9~L0e;NLXx>+;|P zE4KF>h&1?j4gAY_aO|e-;6S9ozh~fA=D`UsZwCh=4gQY?eqkGU7gq!#4Stt_Pqcw| z@fIM`;QwUc<9TpmP}_$Eh&1?54SaDMcvsJXNQ3{2fqya&&NZ0rJqIEU{%;2UA0C$6 zL)k3atQNkAfJlSiW8mA<+Z-0eHgA`M1Ca*5*T8Q{i_rAEZ4tRn1VkEq-mzGmzmf;X z`I;MZ2L~bz{$KA5`rl1 zp0;RlPv-$d8vIBD|6so79W&uPR{BZ{UH+k^3Vev5sA`SjT z1OHYVco#nhA`O0=fghR%N3&?7bMHA2Y48&a{QG%2@19T~(%>f<_&amFg@X%S+8hvR z@KX%@ru49&nj=KFuTUV;;EN6XKed5(@pB;3;9~~9rwzPIJqIEUewu-=NRPR@lDqa$ zAkyH^H1OZagLe!6K%~J32LAnrWEdAlsQj2aKL;WWeujbnOB;B8g*rG8Y4EcRd}(?o z!o*D?gB&S3I1p*@^9}qD)8H(iZd)!8Y49}$zP$~+Ys`U2gRe92-)jTUZ*x8@K%~Jh zG4Kzzf#=n82L~bzeyM?fA`jke`U4^jzQMr%xedIFT7XD{Z!z%ObKnFXbPWp-Y4G1Q z@CT0c!vN diff --git a/basic.cydsn/basic.cyprj b/basic.cydsn/basic.cyprj index 5825f01..2c6b10e 100644 --- a/basic.cydsn/basic.cyprj +++ b/basic.cydsn/basic.cyprj @@ -1517,14 +1517,14 @@ - + - + @@ -1533,7 +1533,7 @@ - + @@ -1542,7 +1542,7 @@ - + @@ -2234,14 +2234,14 @@ - + - + @@ -2250,6 +2250,36 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/basic.cydsn/basic.h b/basic.cydsn/basic.h index aa2d8bb..080791c 100644 --- a/basic.cydsn/basic.h +++ b/basic.cydsn/basic.h @@ -103,6 +103,7 @@ uint8* PCM3060_RxBuf(void); void Settings_Init(void); void Settings_Main(void); extern uint8 keyer_cntr; +extern uint8 keyer_mode; // sidetone.c void Tone_Init(void); diff --git a/basic.cydsn/iambic/API/iambic.c b/basic.cydsn/iambic/API/iambic.c index 00e0cd5..69d4e08 100644 --- a/basic.cydsn/iambic/API/iambic.c +++ b/basic.cydsn/iambic/API/iambic.c @@ -15,3 +15,15 @@ uint8 `$INSTANCE_NAME`_GetSpeed() // return CY_GET_REG8(`$INSTANCE_NAME`_cntr8_D0_PTR); return CY_GET_REG8(`$INSTANCE_NAME`_cntr8_u0__D0_REG); } + +void `$INSTANCE_NAME`_SetMode(uint8 val) +{ +// CY_SET_REG8(`$INSTANCE_NAME`_cntr8_D0_PTR,val); + CY_SET_REG8(`$INSTANCE_NAME`_IAMBIC_CONTROL__CONTROL_REG,val); +} + +uint8 `$INSTANCE_NAME`_GetMode() +{ +// return CY_GET_REG8(`$INSTANCE_NAME`_cntr8_D0_PTR); + return CY_GET_REG8(`$INSTANCE_NAME`_IAMBIC_CONTROL__CONTROL_REG); +} \ No newline at end of file diff --git a/basic.cydsn/iambic/API/iambic.h b/basic.cydsn/iambic/API/iambic.h index 553c49c..2f222f6 100644 --- a/basic.cydsn/iambic/API/iambic.h +++ b/basic.cydsn/iambic/API/iambic.h @@ -6,4 +6,15 @@ void `$INSTANCE_NAME`_SetSpeed(uint8); uint8 `$INSTANCE_NAME`_GetSpeed(); -#endif \ No newline at end of file +void `$INSTANCE_NAME`_SetMode(uint8); +uint8 `$INSTANCE_NAME`_GetMode(); + +#ifndef IAMBIC_H +#define IAMBIC_H +#define IAMBIC_MODE_B (1 << 0) +#define IAMBIC_SKEY (1 << 1) +#define IAMBIC_AUTOSPACE (1 << 2) +#define IAMBIC_RST_N (1 << 7) +#endif + +#endif diff --git a/basic.cydsn/iambic/iambic.cysym b/basic.cydsn/iambic/iambic.cysym index 179fadcc8fe5b1176096873600f9c7e5da0d6589..b8fd6c005747c5675fee218d3862e223b0f22408 100644 GIT binary patch delta 1365 zcmaJ>T}%{L6rM9XJ9lLres)=KSc1rI3jRY)NE;<>QyPtJ6oL=7Nr_Zljfu(wmc&Tn zMzoTRi{YfX4KEf-jM_-BS6vN?B*qjhD#n&-mhdAcDi7)sOkB63cP_ijR$5+8&i&5! zo%79^xpVZALeD52BPu9tRBdv?K~|IZbUCE3%Ce~s=iRt2Nk3focuunIhnnr`xzf%G zx|Ese;)UiUNs^M-s*6o&*l|a}1HC1f)Ke;VcVk)4Rc!BfVPWrA*xXlwmwQXmdCP}K zZ`uTY_2xPu$-iBK>;EXlQ@4Gn_t{YEb>W6S7y9ma@bA7N%^Z6~yy{p@QV1gw{m__Y64W<@=#p zyD=cP*yu3!-Na#)R0tbZeD-R)=1m}ZaZ5Oh92V6h%p11B{OEy0)=Ao8f(*Ryx|Os> z8&pQ}z>nUD{XcjYBTJwSMc5NJD&oJ|(c|17^%JmT&D$Yp_^|?-^}Dm!#{}Xx*!grhz(H^4Ui z!Q6^88J0mCO*3X~lA%l=nZ=Jj-t>e=alS`?G8gp=-gUqPJ@yx~S@fI&J1x^&m>_hK z8P4nB*))N?oSy4YA(wY7R$;3yS&Xg!&&u-Y0~J1qHs?zq1UBnrE=5LwFZqZ`%i*Nh z>&gi%vcg}=%v}$prh-+LKeHH@onEs+3SDc1jmgCcqGF5j(Hg~O_%`s39exA&o@x%r zrU3^O@O;Dp4!Xkut4N)2R6?(1z^71W6xNY?fqh9gW^$~aR%dX`C$O*SFvl{DL+-FU k=;8kdZuN5>`68+UT?-%#$tQR<=Kq8RtHOFb=Y5)KL delta 1849 zcmai#drVt(6vyu=^xg}jFD|b_21q*#gfU?5B~}3+TQZ^}7_%6&Wje~#$xvXDX$|g? zKqdk`nKv6TzW$TM7_L%rbHfoW9WSfX$qH;kSwc3Va{}`A_xrVlIx_dq?fISG=X-wV zoO^$#@~5G-Uxj{tl?Oq&#yb+=Ii)gXY%Q!(cI{Zm3G46VYU(@Ri26(^IdV$kZE*(s zbef`;(~{I0j^i}S`Zk9_6Hd(6xC*Y4+s&0>f5SRebDY_GL`9#a%r51zuOR~ucQ=IUNv2rn$_4}B zQ}D$eTa-PBuVQu;TWqu89UBGY0 z7OR5+ZFT4G0uRZL@a^W{<>(R%Sme;H_#2=QoBc`$u-E z1iu$Ozh_EM1}Uj+_C7_G0Y+IjS{J&sI5%ce39mAWHFr7wyBo-+?DU(8=_Lq<{Ah1P)u~A1CkRy~&H~fAcBW)Ax}NG5i12?@w)%Xrw!$ zD%YnT0bzj2M=@jiv~iBvdF=V0;H@(Pz*!V$PZ@b~0nFZD<~-v&9Wybq9mvfZncXa& zWj;~Fl)1e|?L8$Y=OggPxnxq~?Kzt0+3Ws+<+I18}32}y0OV0TomOq06UEj z-JMT!KBIz)5N$C*0&l8Vr1w=GkAP^jj>b8Ycz7ptd4M>-3x`!II4FA};E?X+AR)2o zXKax_(19q|Y2X+*oH{MEg4n`R@TyVQ7!8}`D>|@1i!A72o7l>>tUTS4hjow&t+Gru zpk2PIheXKo(e1LuK%5. // key - Morse code keyed output // -module iambic(input rst_n, input clk, input modeb, input skey, input dit, input dah, output key); +module iambic(input clk, input dit, input dah, output key); + + // Definition of the control register. + wire [7:0] iambic_control; + wire modeb; + wire skey; + wire autospace; + wire rst_n; + assign modeb = iambic_control[0]; + assign skey = iambic_control[1]; + assign autospace = iambic_control[2]; + assign rst_n = iambic_control[7]; + cy_psoc3_control #(.cy_init_value(8'b10000001), .cy_force_order(`TRUE)) + IAMBIC_CONTROL(.control(iambic_control)); + + reg [1:0] reps; wire start, nextDah; @@ -57,8 +72,8 @@ module iambic(input rst_n, input clk, input modeb, input skey, input dit, input wire cntr8_f1_load; wire cntr8_route_si; wire cntr8_route_ci; - wire [2:0] cntr8_select; - + wire [2:0] cntr8_select; + /* ==================== Assignment of Combinatorial Variables ==================== */ assign cntr8_d0_load = (1'b0); assign cntr8_d1_load = (1'b0); diff --git a/basic.cydsn/main.c b/basic.cydsn/main.c index 4b9db8a..05db589 100644 --- a/basic.cydsn/main.c +++ b/basic.cydsn/main.c @@ -40,11 +40,6 @@ void main_init() { TONE_CLK_SetDividerRegister(625, 1); // 1200Hz sidetone TONE_CLK_SetDividerRegister(625/2, 1); - -// speed = (f(clk) * 60) / (wpm * 50) (Using PARIS standard timing.) -// At 1000 Hz clk, 12 wpm is speed=100, 5 wpm is speed = 240, and 20 wpm is speed = 60 -// KeyerSpeed_Write(70); - iambic_1_SetSpeed(250); } // A compliant USB device is required to monitor diff --git a/basic.cydsn/settings.c b/basic.cydsn/settings.c index 1e46c52..f9e6310 100644 --- a/basic.cydsn/settings.c +++ b/basic.cydsn/settings.c @@ -43,8 +43,12 @@ void Settings_Init(void) { } +// speed = (f(clk) * 60) / (wpm * 50) (Using PARIS standard timing.) +// At 1000 Hz clk, 12 wpm is speed=100, 5 wpm is speed = 240, and 20 wpm is speed = 60 uint8 keyer_cntr_current = 0; -uint8 keyer_cntr = 18; +uint8 keyer_cntr = 60; // 20 WPM +uint8 keyer_mode_current = IAMBIC_RST_N; +uint8 keyer_mode = IAMBIC_MODE_B | IAMBIC_SKEY | IAMBIC_RST_N; void Settings_Main(void) { uint8 i; @@ -62,6 +66,10 @@ void Settings_Main(void) { if (keyer_cntr != keyer_cntr_current) { keyer_cntr_current = keyer_cntr; - iambic_1_SetSpeed(keyer_cntr_current); + IambicKeyer_SetSpeed(keyer_cntr_current); + } + if (keyer_mode != keyer_mode_current) { + keyer_mode_current = keyer_mode; + IambicKeyer_SetMode(keyer_mode_current); } } diff --git a/basic.cydsn/usbvend.c b/basic.cydsn/usbvend.c index ecfdb13..2b9f3de 100644 --- a/basic.cydsn/usbvend.c +++ b/basic.cydsn/usbvend.c @@ -138,6 +138,11 @@ uint8 USBFS_HandleVendorRqst(void) USBFS_currentTD.count = 1; requestHandled = USBFS_InitControlWrite(); break; + case 0x66: // CMD_SET_CW_KEYER_MODE + USBFS_currentTD.pData = (void *)&keyer_mode; + USBFS_currentTD.count = 1; + requestHandled = USBFS_InitControlWrite(); + break; } }